課程設(shè)計報告使用51單片機設(shè)計軟件鐘、渦流稱重計、光電轉(zhuǎn)速計_第1頁
課程設(shè)計報告使用51單片機設(shè)計軟件鐘、渦流稱重計、光電轉(zhuǎn)速計_第2頁
課程設(shè)計報告使用51單片機設(shè)計軟件鐘、渦流稱重計、光電轉(zhuǎn)速計_第3頁
課程設(shè)計報告使用51單片機設(shè)計軟件鐘、渦流稱重計、光電轉(zhuǎn)速計_第4頁
課程設(shè)計報告使用51單片機設(shè)計軟件鐘、渦流稱重計、光電轉(zhuǎn)速計_第5頁
已閱讀5頁,還剩10頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、課程設(shè)計報告課題一 使用51單片機設(shè)計軟件鐘一、 實驗?zāi)康模?. 掌握元器件及芯片的焊接與調(diào)試;2. 了解基于51單片機的軟件鐘的工作原理;3. 會用c語言編寫時鐘函數(shù),復習用switch語句和do-while語句、for語句實現(xiàn)循環(huán)的方法;4. 會使用medwin編譯調(diào)試程序,并生成hex,通過數(shù)據(jù)線下載到電路板上;5. 根據(jù)自己焊接的板子在程序中設(shè)置按鍵控制時鐘的調(diào)整。二、 算法原理:所謂軟件鐘就是利用51單片機制作一個電子時鐘。其算法就是以單片機的時鐘為基準,記錄單片機時鐘脈沖的數(shù)量,當數(shù)量足夠多(如脈沖為每秒6mhz,那么記錄6m個脈沖所需時間即為1秒)就可以將顯示部分的“秒”位加一。

2、加到60以后進位為“分”,”秒“位清零以后繼續(xù)記錄。當“分”累加為60以后進位為”時“,”分“位清零以后繼續(xù)記錄,當”時“位為24以后,”時“位清零并繼續(xù)記錄。三、 硬件原理: 顯示電路:單片機外圍電路(復位電路,時鐘電路): 按鍵控制電路: 數(shù)碼管驅(qū)動電路:四、 實現(xiàn)功能要求:使用中需要對時鐘進行調(diào)整。在調(diào)整的時候需要一個按鍵來進入調(diào)整狀態(tài)。進入調(diào)整狀態(tài)以后需要一個按鍵來向左移動調(diào)整位置,一個按鍵來向右移動調(diào)整位置,一個按鍵來增加所選位置的數(shù)值,一個按鍵來減小所選位置的數(shù)值。調(diào)整完成以后還需要一個按鍵來 退出調(diào)整位置,恢復時鐘的計數(shù)運轉(zhuǎn)。以上所需按鍵可以根據(jù)需要合并。在調(diào)整中另外一個需要注意

3、的問題是如何顯示目前所選定調(diào)整的位置(如秒的個位或者十位,分的個位或者十位等)。可以采用是否顯示數(shù)位右下的小數(shù)點或者所選需調(diào)整位是否閃爍來讓操作者明確目前自己所選定的需要調(diào)整的數(shù)位。五、 設(shè)計內(nèi)容:(1)硬件焊接:按照電路圖焊接好各個元器件和芯片插座,注意焊接時焊點要盡量光滑,與管腳接觸良好,元件的管腳彎曲處要盡量光滑,有需要區(qū)分正負極的元器件焊接時要注意不要焊反。焊接完后將元件多余的管腳用尖嘴鉗剪掉,以免形成短路。(2)軟件設(shè)計:1子程序設(shè)計:a. 單片機初始化程序a) 定時器t0中斷服務(wù)程序b. 顯示子程序c. 時鐘運行計數(shù)子程序d. 時間數(shù)據(jù)送顯示緩沖區(qū)子程序e. 設(shè)置時間子程序f. 時

4、鐘調(diào)整子程序g. 鍵值讀入子程序h. 延時子程序2.實驗程序清單:#pragma db oe#include #include #include #include #include #include #define uint unsigned int#define uchar unsigned char#define ulong unsigned longvoid display(void);void initsiu(void);void delay(void);void sztz(void);void jssz(void);void setsz(void);void tzszw(uchar

5、zj);uchar inkey(void);uchar sec,min,hour;uchar disdat6;uchar code tab=0x3f,0x6,0x5b,0x4f,0x66,0x6d,0x7d,0x7,0x7f,0x6f;uint count;uchar set_state,xsd;sbit zsd=p10;main()uchar i; for (i=0;i2;i+) disdati= 0; /將顯示緩存清零 initsiu(); /初始化單片機 set_state=0; do i=inkey(); /設(shè)置時鐘 if(i=0x70) setsz(); jssz(); displa

6、y(); /顯示 if(sec & 0x01) /指示燈閃爍 zsd=1; else zsd=0; while(1);void display(void)/六位數(shù)碼管顯示程序,disdat是要顯示的內(nèi)容(是09的數(shù)字),xsd是在那一位顯示小數(shù)點 uchar i,disa,disb,disc; disb = 0x00; /顯示第n位 for (i=0;i6;i+) /共顯示6個數(shù)據(jù) disa = disdati; /顯示數(shù)據(jù) if (disa 2) disdat0=0; else if (disdat0=0) disdat0=2; else disdat0-; hour=disdat0*10+

7、disdat1; break; case 1: /時低位調(diào)整 if (zj=0) disdat1+; if (disdat19) disdat1=0; else if (disdat0!=2&disdat1=0) disdat1=9; /else /disdat1-; else if(disdat0=2&disdat1=0) disdat1=3;else disdat1-; if(disdat0=2&disdat13) disdat1=0; hour=disdat0*10+disdat1; break; case 2: /分高位調(diào)整 if (zj=0) disdat2+; if (disdat

8、25) disdat2=0; else if (disdat2=0) disdat2=5; else disdat2-; min=disdat2*10+disdat3; break; case 3: /分低位調(diào)整 if (zj=0) disdat3+; if (disdat39) disdat3=0; else if (disdat3=0) disdat3=9; else disdat3-; min=disdat2*10+disdat3; break; case 4: /秒高位調(diào)整 if (zj=0) disdat4+; if (disdat45) disdat4=0; else if (di

9、sdat4=0) disdat4=5; else disdat4-; sec=disdat4*10+disdat5; break;case 5: /秒低位調(diào)整 if (zj=0) disdat5+; if (disdat59) disdat5=0; else if (disdat5=0) disdat5=9; else disdat5-; sec=disdat4*10+disdat5; break; default: break; void delay(void) /延時程序 uchar i; for (i=0;itr11開始計數(shù),c/t=0-對內(nèi)部計數(shù),模式1-16位計數(shù) th0=0x3c;

10、 tl0=0xaf; et0=1; /打開定時器0中斷 et1=0; /關(guān)閉定時器1中斷 tr0=1; /打開計數(shù)器0 tr1=0; /關(guān)閉計數(shù)器1 ea=1; /總中斷允許void inttime0(void) interrupt 1 using 1 th0=0x3c; /50ms中斷一次,20次為一秒,調(diào)整時間一次 tl0=0xaf; count+; if (count=20) count=0; sztz(); uchar inkey(void) uchar jjj,keydat; p2 = 0xff; /p2準備讀入 keydat = p2; /讀入鍵值 keydat = keydat

11、& 0xf0; /找出四個鍵的值 if (keydat != 0xf0) /有鍵輸入 _nop_(); _nop_(); jjj = p2; /再讀,去抖動 jjj = jjj & 0xf0; if (jjj != keydat) keydat = 0xf0; do jjj = p2; jjj = jjj & 0xf0; /等待鍵松手 while (jjj != 0xf0); return (keydat); 六、 測試結(jié)果分析:經(jīng)過medwin對程序的調(diào)試無誤后,將hex文件下載到電路板上,可正常顯示時鐘,并且可以通過按鍵對時鐘進行調(diào)整。針對我的程序,按鍵實現(xiàn)功能依次為:一號鍵:進入和退出設(shè)

12、置,當時鐘運行時按下可進入設(shè)置;當設(shè)置完成后按下可恢復時鐘顯示。二號鍵:右移一位。在設(shè)置狀態(tài)每次按下就右移一位。三號鍵:加一四號鍵:減一此外還設(shè)有一個復位鍵,按下后時鐘歸零。七、 遇到的問題與解決方法:問題一:如何編寫時鐘函數(shù)?解決方法:每60秒進一位,即一分鐘;每60分鐘進一位,即一小時;在函數(shù)體內(nèi)用循環(huán),在主函數(shù)中調(diào)用。編寫時注意當時高位為2時,時地位最高到3,且分高位和秒高位最高為5。問題二:如何設(shè)置時間?解決方法:改變現(xiàn)實狀態(tài),將現(xiàn)在的結(jié)果放到數(shù)組中去,讀取按鍵,設(shè)置按鍵對時鐘進行調(diào)整,編寫switch語句控制按鍵的選擇。問題三:如何解決時間變化太快?解決方法:使用一個延時函數(shù)來緩沖每

13、一秒變化時間。八、 實驗總結(jié):通過本次實驗,我對時鐘函數(shù)的編寫有了更深一步的掌握,復習了c語言的編程。并且可以通過自己焊接的板子來調(diào)試時鐘程序,對今后的學習與研究有了很大的幫助課題二 使用51單片機設(shè)計渦流稱重計一、實驗?zāi)康?. 了解電渦流傳感器用于稱重的原理與方法;2. 熟悉adc0832的器件手冊;3. 了解基于51單片機的渦流稱重計的工作原理;4. 會用c語言編寫時鐘函數(shù),復習用switch語句和do-while語句、for語句實現(xiàn)循環(huán)的方法;5. 會使用medwin編譯調(diào)試程序,并生成hex,通過數(shù)據(jù)線下載到電路板上;二、算法原理本項設(shè)計中用電位器的中間抽頭所輸出的電壓模擬采集的重量數(shù)

14、據(jù),單片機經(jīng)adc0832的通道0輸入該電壓信號,并將其轉(zhuǎn)換為數(shù)字信息送6位共陽8段led顯示。adc0832的芯片接口說明: cs_ 片選使能,低電平芯片使能。 ch0 模擬輸入通道0,或作為in+/-使用。 ch1 模擬輸入通道1,或作為in+/-使用。 gnd 芯片參考0 電位(地)。 di 數(shù)據(jù)信號輸入,選擇通道控制。 do 數(shù)據(jù)信號輸出,轉(zhuǎn)換數(shù)據(jù)輸出。 clk 芯片時鐘輸入。 vcc/ref 電源輸入及參考電壓輸入(復用)當此2 位數(shù)據(jù)為“1”、“0”時,只對ch0 進行單通道轉(zhuǎn)換。當2位數(shù)據(jù)為“1”、“1”時,只對ch1進行單通道轉(zhuǎn)換。當2 位數(shù)據(jù)為“0”、“0”時,將ch0作為正

15、輸入端in+,ch1作為負輸入端in-進行輸入。當2 位數(shù)據(jù)為“0”、“1”時,將ch0作為負輸入端in-,ch1 作為正輸入端in+進行輸入。到第3 個脈沖的下沉之后di端的輸入電平就失去輸入作用,此后do/di端則開始利用數(shù)據(jù)輸出do進行轉(zhuǎn)換數(shù)據(jù)的讀取。從第4個脈沖下沉開始由do端輸出轉(zhuǎn)換數(shù)據(jù)最高位data7,隨后每一個脈沖下沉do端輸出下一位數(shù)據(jù)。直到第11個脈沖時發(fā)出最低位數(shù)據(jù)data0,一個字節(jié)的數(shù)據(jù)輸出完成。也正是從此位開始輸出下一個相反字節(jié)的數(shù)據(jù),即從第11個字節(jié)的下沉輸出datd0。隨后輸出8位數(shù)據(jù),到第19 個脈沖時數(shù)據(jù)輸出完成,也標志著一次a/d轉(zhuǎn)換的結(jié)束。最后將cs置高電

16、平禁用芯片,直接將轉(zhuǎn)換后的數(shù)據(jù)進行處理就可以了。三、實現(xiàn)功能要求:使用時用5v的電壓來表示200g的重量,使用通道o來輸入模擬量。四、設(shè)計內(nèi)容:(1)硬件焊接:根據(jù)adc0832的接口說明焊接導線(2)軟件設(shè)計:1子程序設(shè)計:a. 單片機的初始化程序b. 顯示子程序c. 3.0832的轉(zhuǎn)換子程序d. 采樣數(shù)據(jù)換算壓力子程序e. 將壓力值轉(zhuǎn)換為十進制數(shù),并將每位送入顯示緩沖區(qū)子程序2.實驗程序清單:#include #include intrins.h#define uint unsigned int#define uchar unsigned char/adc0832的引腳sbit adcs

17、=p35; /adc0832 chip seclectsbit adclk =p34; /adc0832 clock signalsbit addi =p33; /adc0832 k insbit addo =p33; /adc0832 k outuchar dispbuf6;uchar code tab=0x3f,0x6,0x5b,0x4f,0x66,0x6d,0x7d,0x7,0x7f,0x6f;uchar code tab1=0x79,0x3f,0x77;uint temp;uchar getdata; /獲取adc轉(zhuǎn)換回來的值uchar e=0;void delay(void)/延時程

18、序uchar i;for (i=0;i201;i+)_nop_();void display(void) /六位數(shù)碼管顯示程序,disdat是要顯示的內(nèi)容(是09的數(shù)字),xsd是在那一位顯示小數(shù)點uchar i,disa,disb,disc;disb = 0x00;/顯示第n位for (i=0;i6;i+)/共顯示3個數(shù)據(jù)if(e=0)disa = dispbufi;/顯示數(shù)據(jù)elsedisa = i - 3;if (disa 1)&0x1; _nop_(); _nop_(); adclk=0;/拉低clk端,形成下降沿3 addi=1;/控制命令結(jié)束 _nop_(); _nop_(); d

19、at=0; for(i=0;i8;i+) dat|=addo;/收數(shù)據(jù) adclk=1; _nop_(); _nop_(); adclk=0;/形成一次時鐘脈沖 _nop_(); _nop_(); dat=1; if(i=7)dat|=addo; for(i=0;i8;i+) j=0; j=j|addo;/收數(shù)據(jù) adclk=1; _nop_(); _nop_(); adclk=0;/形成一次時鐘脈沖 _nop_(); _nop_(); j=j7; ndat=ndat|j; if(i=1; adcs=1;/拉低cs端 adclk=0;/拉低clk端 addo=1;/拉高數(shù)據(jù)端,回到初始狀態(tài) d

20、at=8; dat|=ndat; return(dat); /return ad kvoid main(void) /double k; unsigned int w; while(1) getdata=adc0832(0); temp=getdata*1.0/255*500; /電壓值轉(zhuǎn)換,5v做為參考電壓,分成256份。 dispbuf2=temp%10; /個位 dispbuf1=temp/10%10; /十位 dispbuf0=temp/100; /百位 w = temp * 1.0 /2.5;if(w=199)e=0; dispbuf5=w%10; /個位 dispbuf4=w/10

21、%10; /十位 dispbuf3=w/100; /百位elsee = 1; display(); 五、測試結(jié)果分析:此電路板實現(xiàn)的功能是從電位器中分出一部分電壓,并將其進行a/d轉(zhuǎn)換,將模擬量轉(zhuǎn)換為數(shù)字量,把電壓顯示高三位數(shù)碼管,并且通過換算得到它對應(yīng)的克數(shù)值,顯示在低三位數(shù)碼管,經(jīng)過調(diào)試,我測的最高值為4.98v 199g,繼續(xù)增大后則開始報錯,在后三位數(shù)碼管顯示“eor”。六、遇到的問題及解決方法:問題一:如何編寫程序選擇o通道?解決方法:根據(jù)adc0832的接口說明可知,當2 位數(shù)據(jù)為“1”、“0”時,只對ch0 進行單通道轉(zhuǎn)換,所以可以編寫語句:if(channel=0)channe

22、l=2;問題二:如何用0832采集模擬量?解決方法:分別用兩個for循環(huán),從高位開始采集一次,再從地位開始采集一次,對兩次的結(jié)果進行校驗,一致則輸入。問題三:如何設(shè)置電壓值?解決方法:用5v作為參考電壓,分成256份,用從adc0832采集來的數(shù)據(jù)除以255再乘以500。七、實驗總結(jié): 通過本次實驗,我對adc0832的接口和工作原理有了進一步的了解,并且會用c語言編程來實現(xiàn)adc0832的數(shù)據(jù)采集,為今后的學習和研究打下了良好的基礎(chǔ)。課題三 使用51單片機設(shè)計光電轉(zhuǎn)速計一、實驗?zāi)康模?. 了解光電傳感器的工作原理及其使用方法;2. 熟悉掌握at89c51系列單片微型計算機的工作原理;3. 了

23、解基于51單片機的渦流稱重計的工作原理;4. 會用c語言編寫時鐘函數(shù),復習用switch語句和do-while語句、for語句實現(xiàn)循環(huán)的方法;5. 會使用medwin編譯調(diào)試程序,并生成hex,通過數(shù)據(jù)線下載到電路板上;二、算法原理:數(shù)字頻率計的基本原理數(shù)字頻率計是直接用十進制數(shù)字來顯示被測信號頻率的一種測量裝置。它不僅可以測量正弦波,方波,三角波和尖脈沖信號的頻率,而且還可以測量他們的周期。數(shù)字頻率計在測量其他物理量如轉(zhuǎn)速、振蕩頻率等方面獲得廣泛應(yīng)用。所謂頻率,就是周期性信號在單位時間(1s)里變化的次數(shù)。若在一定時間間隔t內(nèi)測得的這個周期性信號的重復變化次數(shù)n,則其頻率可表示為f =n/t

24、,一般為簡單起見我們通常選擇測量間隔為1秒(即t1),這樣1秒內(nèi)信號重復變化的次數(shù)就是信號的頻率。1秒的計數(shù)周期要采用單片機本身的時鐘脈沖來計算,在1秒的時間內(nèi),記錄的外部脈沖的數(shù)量就是外部信號的頻率。所以一共需要2個計數(shù)過程,一個是記錄單片機本身時鐘脈沖的個數(shù)以準確劃定1秒的時間,一個是記錄外部脈沖的個數(shù),以準確記錄外部信號的頻率。因為顯示的數(shù)碼管數(shù)量有限,所以在編寫程序時要注意采用小數(shù)點所在的位置來表示頻率單位頻率計同時應(yīng)具有測量信號周期的功能,由f1/t可知,加入一段求倒數(shù)的代碼即可求得周期。可以通過按鍵來切換顯示的內(nèi)容是信號的頻率還是周期。三、硬件原理:1、光電測量部分原理:檢測齒輪上

25、有12個齒均勻分布在輪盤周圍,并被直接固定在被測軸上,安裝時光電耦合器的發(fā)光二極管和光敏三極管正對齒輪上的齒,當被測軸轉(zhuǎn)動時帶動檢測齒輪轉(zhuǎn)動,光電耦合器通過對檢測齒輪上的齒進行耦合從而產(chǎn)生數(shù)字脈沖。脈沖經(jīng)過放大送向單片機的計數(shù)端。 2、單片機部分工作原理: 本次采用的單片機為at89c51,內(nèi)有兩個定時/計數(shù)器。在程序設(shè)計時筆者將t0定時/計數(shù)器作為1秒定時使用,將t1定時/計數(shù)器作對脈沖的計數(shù)器使用。單片機計數(shù)端在接收到脈沖后對脈沖進行計數(shù),每隔1秒提取th1和tl1中的計數(shù)數(shù)據(jù),并進行相應(yīng)的運算,對16進制數(shù)據(jù)進行處理,得到10進制數(shù)據(jù),送顯示緩存進行顯示輸出。四、實現(xiàn)功能要求:本實驗要求

26、編寫程序?qū)崿F(xiàn)當板子上的p3.5引腳接光電轉(zhuǎn)速計時,在數(shù)碼管上顯示轉(zhuǎn)速,單位為轉(zhuǎn)/分。并且設(shè)置一個按鍵,當按鍵按下時,數(shù)碼管顯示的是旋轉(zhuǎn)周期,并且通過此按鍵可以實現(xiàn)頻率與周期的交替顯示。五、設(shè)計內(nèi)容:(1)硬件焊接:在之前焊接好的板子的基礎(chǔ)上,從p3.5端引出一根導線,與光電測速儀的正極相連,負極與板子的地端相連。(2)軟件設(shè)計:程序清單:#include #include #include #define uint unsigned int#define uchar unsigned char#define ulong unsigned longuchar disvalue6;uchar lb

27、1,hb1; uint value;uchar code tab=0x3f,0x6,0x5b,0x4f,0x66,0x6d,0x7d,0x7,0x7f,0x6f;uint count;void delay(void)/延時程序uchar i;for (i=0;i201;i+)_nop_();void display(void) /六位數(shù)碼管顯示程序,disdat是要顯示的內(nèi)容(是09的數(shù)字),xsd是在那一位顯示小數(shù)點uchar i,disa,disb,disc;disb = 0x00;/顯示第n位for (i=0;i6;i+) /共顯示6個數(shù)據(jù)disa = disvaluei;/顯示數(shù)據(jù)if

28、 (disa tr11開始計數(shù),c/t=0-對內(nèi)部計數(shù),模式1-16位計數(shù)th0=0x3c;tl0=0xb0;th1=0x00;tl1=0x00;et0=1;/打開定時器0中斷et1=0;/關(guān)閉計數(shù)器1中斷tr0=1;/打開定時器0tr1=1;/關(guān)閉計數(shù)器1ea=1;/總中斷允許void inttime0(void) interrupt 1 using 1th0=0x3c;/50ms中斷一次,20次為一秒,調(diào)整時間一次tl0=0xb0;count+;if (count=20)count=0;sztz();tr1 = 0;lb1=tl1;hb1=th1;th1=0x00;tl1=0x00;tr1

29、 = 1;void main(void) uchar i; initsiu();/初始化單片機 while(1) value = (lb1 + hb1 * 256)*5; disvalue5=value%10; /個位 disvalue4=value/10%10; /十位 disvalue3=value/100%10; /百位 disvalue2=value/1000%10; /千位 disvalue1=value/10000; /萬位 display(); 六、測試結(jié)果及分析:stc89c52芯片的p3.5引腳實現(xiàn)的是計數(shù)功能,將p3.5引腳轉(zhuǎn)速測量儀的正極接,芯片的地線與轉(zhuǎn)速測量儀的負極接

30、,板子通電后,即為顯示的頻率值。由于我編寫的頻率轉(zhuǎn)周期的函數(shù)不能讀入緩沖區(qū),所以我的程序不能實現(xiàn)由頻率到周期的轉(zhuǎn)換,只能顯示和儀器上一樣的頻率數(shù)值。七、遇到的問題和解決方法:問題一:如何編寫程序選擇定時器和計數(shù)器的工作方式?解決方法:根據(jù)定時方式控制寄存器tmod的格式:gatec/tm1m0gatec/tm1m0前四位控制t1,后四位控制t0,所以編寫語句:tmod=0x51; gate0-tr11開始計數(shù),c/t=0-對內(nèi)部計數(shù),方式1-16位計數(shù)。問題二:如何設(shè)置計數(shù)器初值?解決方法:本程序要求50ms中斷一次,20次為一秒,調(diào)整時間一次。又有tc=m-t/t計數(shù) ,方式一下m=216所

31、以tc=216-50ms/1us=3cb0,分別存在高八位和第八位中。八、實驗總結(jié):通過本次實驗我學會了光電測速儀的工作原理,復習了tmod的控制格式。但由于編寫按鍵設(shè)置周期和頻率轉(zhuǎn)換的時候設(shè)置的flag標志位有問題,周期值無法讀入緩沖,致使我的程序只能顯示轉(zhuǎn)速,不能顯示周期。課程設(shè)計心得體會通過本次課程設(shè)計,我又對元件焊接進行了一次熟悉,現(xiàn)在已經(jīng)能掌握的很熟練了,這對以后的學習和工作都能夠有一定的幫助。 其次,通過對軟件鐘、渦流稱重計、旋轉(zhuǎn)測速儀的設(shè)計,我對at89c51系列單片微型計算機的工作原理有了更深一步的認識,尤其是對adc0832的接口功能和具體工作步驟有了熟練的掌握,復習了用c語言編寫程序,重溫了switch語句、for循環(huán)、do while循環(huán)語句。另外這次還接觸了新的東西,就是用串口線將板子與電腦連接,用medwin編譯調(diào)試程序后用stc工具下載到板子上,可以一邊編寫一邊調(diào)試,對程序的設(shè)計有很大的幫助。在設(shè)計軟件鐘的時候由于一開始編寫循環(huán)的時候?qū)懙奶唵?,致使我的板子出現(xiàn)了可以設(shè)置25點。后來下載到板子上后看出來這個錯誤,又添加了一個if-else語句,使得當時高位為2的時候,時地位最高只可以到3。還有一個問題就是一開始我設(shè)置的按鍵只能進入,不能退出程序,經(jīng)過上網(wǎng)查詢和詢問老師,加了一個

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論