基于FPGA的數(shù)字時鐘設(shè)計_第1頁
基于FPGA的數(shù)字時鐘設(shè)計_第2頁
基于FPGA的數(shù)字時鐘設(shè)計_第3頁
基于FPGA的數(shù)字時鐘設(shè)計_第4頁
基于FPGA的數(shù)字時鐘設(shè)計_第5頁
已閱讀5頁,還剩54頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、 設(shè)計(論文)題目:設(shè)計(論文)題目: 基于基于 fpga 的數(shù)字時鐘設(shè)計的數(shù)字時鐘設(shè)計 摘摘 要要 隨著科學技術(shù)的飛速發(fā)展,系統(tǒng)向著高速度、低功耗、低電壓和網(wǎng)絡(luò)化、移動化方向發(fā) 展,各個領(lǐng)域?qū)﹄娐返囊笤絹碓礁撸瑐鹘y(tǒng)單一功能的電路很難滿足發(fā)展的要求,而可編程 邏輯器件(cpld/fpga)可以很方便地通過對邏輯結(jié)構(gòu)的修改和配置,完成對系統(tǒng)和設(shè)備 的升級。 verilog hdl 是一種應(yīng)用廣泛的硬件描述語言,可用于從算法級、門級到開關(guān)級的多種 抽象層次的數(shù)字系統(tǒng)設(shè)計。verilog hdl 語言最大的特點就是易學易用,通過學習和使用, 可以在短時間內(nèi)掌握該語言。另外該語言的功能強大,可以滿足

2、各個層次設(shè)計人員的需要, 從高層的系統(tǒng)描述到底層的版圖設(shè)計,都能很好地支持。 本文就是用 verilog hdl 語言來描述一個基于 fpga 的多功能數(shù)字時鐘的設(shè)計。該數(shù)字 時鐘具備準確計時,時間校準, 定時鬧鐘, 報時, 數(shù)字跑表等功能。本文首先介紹了 fpga 方 面的基礎(chǔ)知識,然后介紹了多功能數(shù)字時鐘的設(shè)計以及源代碼開發(fā)過程。源代碼首先在 xilinx ise11 軟件上進行仿真、綜合,通過后下載到 aquila 系列開發(fā)板上,在 fpga 器件上 的試驗結(jié)果表明上述功能全部正確,工作穩(wěn)定良好。 【關(guān)鍵詞關(guān)鍵詞】fpga 數(shù)字時鐘 verilog hdl abstract with t

3、he rapid development of science and technology, the system toward the high speed, low power consumption, low voltage and networking, mobility management, various areas on the circuit requirements get higher, traditional single-function of the circuit is very hard to meet development requirements, an

4、d programmable logic devices (cpld/fpga) can be easily passed on the logical structure of modification and configuration, the system and equipment upgrades. verilog hdl is a widespread use of the hardware description language can be used from the algorithm level, gate-level to switch-level of the va

5、rious abstraction levels of system design. verilog hdl greatest feature is easy to use, learn and use, in a short period of time can master the language. meanwhile, the language of the powerful, all levels designed to meet the needs of the staff, the system from the top to bottom describing the layo

6、ut, can better support. this paper is using verilog hdl language to describe the design of an fpga-based multifunctional digital timer. this timer has the function of accurate time clock, time calibration, regular alarm clock, timer, digital stopwatch and so on. this paper first introduces the basic

7、 knowledge of the fpga, then introduces the design of the multifunctional digital clock and the development of the source code. after the simulation、synthesis on xilinx ise11 software, the source code downloads to the aqulia development box, test results on the fpga devices shows that all of the abo

8、ve functions are correct and stable. 【key words】fpga digital clock verilog hdl 目目 錄錄 摘 要.i abstract .ii 前 言.1 第一章 數(shù)字時鐘概述.2 第一節(jié) 數(shù)字時鐘系統(tǒng)及其發(fā)展 .2 第二節(jié) fpga 數(shù)字時鐘的研究現(xiàn)狀.3 一、課題相關(guān)技術(shù)的發(fā)展.3 二、課題研究的必要性.3 第三節(jié) 數(shù)字時鐘的原理介紹及功能要求.4 一、數(shù)字時鐘的原理介紹.4 二、數(shù)字時鐘的功能要求.4 第四節(jié) 本章小結(jié).5 第二章 fpga 數(shù)字系統(tǒng)的開發(fā)原理.6 第一節(jié) fpga 技術(shù)及其工作原理.6 一、fpga 概述.

9、6 二、fpga 的基本工作原理.6 第二節(jié) fpga 的設(shè)計流程.7 一、設(shè)計輸入.8 二、設(shè)計綜合.8 三、設(shè)計仿真.8 四、實現(xiàn).9 五、下載.9 第三節(jié) fpga 設(shè)計的基本原則.10 一、硬件原則.10 二、面積和速度的平衡與互換原則.10 三、系統(tǒng)原則.10 四、同步原則.11 第四節(jié) fpga 基本結(jié)構(gòu)及常見技術(shù).11 一、fpga 基本結(jié)構(gòu).11 二、fpga 常見技術(shù).13 第五節(jié) 本章小結(jié).15 第三章 fpga 開發(fā)平臺.16 第一節(jié) verilog hdl 介紹.16 一、verilog hdl 概述.16 二、主要能力.17 三、自頂向下的設(shè)計方法.18 四、模塊.1

10、9 第二節(jié) aquila板卡使用說明及主要模塊介紹.20 一、電源輸入.20 二、復(fù)位.20 三、視頻輸入輸出接口.21 四、擴展接口.21 五、i2c 地址.21 六、指示燈.22 七、fpga 配置.22 八、用戶自定義按鍵.23 九、網(wǎng)絡(luò)接口.23 十、rs232 接口.23 第三節(jié) ise 簡要介紹.24 一、ise 概述.24 二、ise 功能簡介.24 三、基于 ise 的仿真.25 第四節(jié) 本章小結(jié).26 第四章 數(shù)字時鐘的設(shè)計與實現(xiàn).27 第一節(jié) 系統(tǒng)的總體設(shè)計.27 第二節(jié) 系統(tǒng)結(jié)構(gòu).28 第三節(jié) 功能描述.28 第四節(jié) 單元電路設(shè)計.29 一、分頻模塊電路設(shè)計與實現(xiàn).29

11、二、鍵盤接口.30 三、顯示模塊設(shè)計與實現(xiàn).31 第五節(jié) 本章小結(jié).32 第五章 系統(tǒng)實現(xiàn)與驗證.33 第一節(jié) 仿真與驗證.33 第二節(jié) 下載與配置.33 第三節(jié) 系統(tǒng)測試結(jié)果.35 結(jié) 論.36 致 謝.37 參考文獻.38 附 錄.39 一、英文原文.39 二、英文翻譯.46 三、源程序.50 前前 言言 現(xiàn)代社會的標志之一就是信息產(chǎn)品的廣泛使用,而且是產(chǎn)品的性能越來 越強,復(fù)雜程度越來越高,更新步伐越來越快。支撐信息電子產(chǎn)品高速發(fā)展 的基礎(chǔ)就是微電子制造工藝水平的提高和電子產(chǎn)品設(shè)計開發(fā)技術(shù)的發(fā)展。前 者以微細加工技術(shù)為代表,而后者的代表就是電子設(shè)計自動化(electronic desig

12、n automatic,eda)技術(shù)。 本設(shè)計采用的 verilog hdl 是一種全方位的硬件描述語言,具有極強的 描述能力,能支持系統(tǒng)行為級、寄存器傳輸級和邏輯門級三個不同層次的設(shè) 計;支持結(jié)構(gòu)、數(shù)據(jù)流、行為三種描述形式的混合描述、覆蓋面廣、抽象能 力強,因此在實際應(yīng)用中越來越廣泛。asic 是專用的系統(tǒng)集成電路,是一種 帶有邏輯處理的加速處理器。而 fpga 是特殊的 asic 芯片,與其他的 asic 芯片相比,它具有設(shè)計開發(fā)周期短、設(shè)計制造成本低、開發(fā)工具先進、標準 產(chǎn)品無需測試、質(zhì)量穩(wěn)定以及可實時在線檢測等優(yōu)點。 在控制系統(tǒng)中,鍵盤是常用的人機交換接口,當所設(shè)置的功能鍵或數(shù)字 鍵按

13、下的時候,系統(tǒng)應(yīng)該完成該鍵所設(shè)置的功能。因此,鍵信息輸入是與軟 件結(jié)構(gòu)密切相關(guān)的過程。根據(jù)鍵盤的結(jié)構(gòu)不同,采用不同的編碼方法。但無 論有無編碼以及采用什么樣的編碼,最后都要轉(zhuǎn)換成為相應(yīng)的鍵值,以實現(xiàn) 按鍵功能程序的轉(zhuǎn)移。 鐘表的數(shù)字化給人們生產(chǎn)生活帶來了極大的方便,而且大大地擴展了鐘 表原先的報時功能。諸如定時自動報警、定時啟閉電路、定時開關(guān)烘箱、通 斷動力設(shè)備,甚至各種定時電氣的自動啟用等,所有這些,都是以鐘表數(shù)字 化為基礎(chǔ)的。因此,研究數(shù)字鐘及擴大其應(yīng)用,有著非?,F(xiàn)實的意義。 第一章第一章 數(shù)字時鐘概述數(shù)字時鐘概述 數(shù)字時鐘在我們生活中其中非常重要的作用,了解數(shù)字時鐘目前的發(fā)展 狀況是必需

14、的,對于數(shù)字時鐘的研究也是非常有必要的。本章還講述數(shù)字時 鐘的原理和基本的功能要求。 第一節(jié)第一節(jié) 數(shù)字時鐘系統(tǒng)及其發(fā)展數(shù)字時鐘系統(tǒng)及其發(fā)展 近年來,隨著電子產(chǎn)品的發(fā)展,人們對數(shù)字時鐘的要求越來越高,對人 們的這一需求,用基于 fpga 設(shè)計的智數(shù)字時鐘,功能強大,界面友好,更 好的滿足了人們對它的要求。 現(xiàn)在是一個知識爆炸的新時代。新產(chǎn)品、新技術(shù)層出不窮,電子技術(shù)的 發(fā)展更是日新月異。可以毫不夸張的說,電子技術(shù)的應(yīng)用無處不在,電子技 術(shù)正在不斷地改變我們的生活,改變著我們的世界。在這快速發(fā)展的年代, 時間對人們來說是越來越寶貴,在快節(jié)奏的生活時,人們往往忘記了時間, 一旦遇到重要的事情而忘記

15、了時間,這將會帶來很大的損失。因此我們需要 一個定時系統(tǒng)來提醒這些忙碌的人。數(shù)字化的鐘表給人們帶來了極大的方便。 近些年,隨著科技的發(fā)展和社會的進步,人們對數(shù)字鐘的要求也越來越 高,傳統(tǒng)的時鐘已不能滿足人們的需求。多功能數(shù)字鐘不管在性能還是在樣 式上都發(fā)生了質(zhì)的變化,有電子鬧鐘、數(shù)字鬧鐘等等。fpga 在多功能數(shù)字 鐘中的應(yīng)用已是非常普遍的,人們對數(shù)字鐘的功能及工作順序都非常熟悉。 但是卻很少知道它的內(nèi)部結(jié)構(gòu)以及工作原理。由 fpga 作為數(shù)字鐘的核心控 制器,可以通過它的時鐘信號進行計時實現(xiàn)計時功能,將其時間數(shù)據(jù)經(jīng)控制 輸出,利用顯示器顯示出來。通過鍵盤可以進行定時、校時功能。輸出設(shè)備 顯示

16、器可以用液晶顯示技術(shù)和數(shù)碼管顯示技術(shù)。 第二節(jié)第二節(jié) fpga 數(shù)字時鐘的研究現(xiàn)狀數(shù)字時鐘的研究現(xiàn)狀 一、課題相關(guān)技術(shù)的發(fā)展一、課題相關(guān)技術(shù)的發(fā)展 當今電子產(chǎn)品正向功能多元化,體積最小化,功耗最低化的方向發(fā)展。 它與傳統(tǒng)的電子產(chǎn)品在設(shè)計上的顯著區(qū)別師大量使用大規(guī)模可編程邏輯器件, 使產(chǎn)品的性能提高,體積縮小,功耗降低.同時廣泛運用現(xiàn)代計算機技術(shù),提 高產(chǎn)品的自動化程度和競爭力,縮短研發(fā)周期。eda 技術(shù)正是為了適應(yīng)現(xiàn)代 電子技術(shù)的要求,吸收眾多學科最新科技成果而形成的一門新技術(shù)。 美國 xilinx 公司的可編程邏輯器件采用全新的結(jié)構(gòu)和先進的技術(shù),加上 ise 開發(fā)環(huán)境,更具有高性能,開發(fā)周期

17、短等特點,十分方便進行電子產(chǎn)品的 開發(fā)和設(shè)計。 eda 技術(shù),技術(shù)以大規(guī)??删幊踢壿嬈骷樵O(shè)計載體,以硬件描述語言 為系統(tǒng)邏輯描述主要表達方式,以計算機、大規(guī)??删幊踢壿嬈骷拈_發(fā)軟 件及實驗開發(fā)系統(tǒng)為設(shè)計工具,通過有關(guān)的開發(fā)軟件,自動完成用軟件的方 式設(shè)計的電子系統(tǒng)到硬件系統(tǒng)的邏輯編譯,邏輯化簡,邏輯分割,邏輯映射, 編程下載等工作。最終形成集成電子系統(tǒng)或?qū)S眉尚酒囊婚T新技術(shù)。 本設(shè)計利用 verilog hdl 硬件描述語言結(jié)合可編程邏輯器件進行的,并 通過數(shù)碼管動態(tài)顯示計時結(jié)果。數(shù)字鐘可以由各種技術(shù)實現(xiàn),如單片機等.利 用可編程邏輯器件具有其他方式?jīng)]有的特點,它具有易學,方便,新穎,

18、有 趣,直觀,設(shè)計與實驗項目成功率高,理論與實踐結(jié)合緊密,體積小,容量 大,i/o 口豐富,易編程和加密等特點,并且它還具有開放的界面,豐富的設(shè) 計庫,模塊化的工具以及 lpm 定制等優(yōu)良性能,應(yīng)用非常方便。因此,本設(shè) 計采用可編程邏輯器件實現(xiàn)。 二、課題研究的必要性二、課題研究的必要性 現(xiàn)在是一個知識爆炸的新時代。新產(chǎn)品、新技術(shù)層出不窮,電子技術(shù)的 發(fā)展更是日新月異??梢院敛豢鋸埖恼f,電子技術(shù)的應(yīng)用無處不在,電子技 術(shù)正在不斷地改變我們的生活,改變著我們的世界。在這快速發(fā)展的年代, 時間對人們來說是越來越寶貴,在快節(jié)奏的生活時,人們往往忘記了時間, 一旦遇到重要的事情而忘記了時間,這將會帶來

19、很大的損失。因此我們需要 一個定時系統(tǒng)來提醒這些忙碌的人。數(shù)字化的鐘表給人們帶來了極大的方便。 近些年,隨著科技的發(fā)展和社會的進步,人們對數(shù)字鐘的要求也越來越高, 傳統(tǒng)的時鐘已不能滿足人們的需求。多功能數(shù)字鐘不管在性能還是在樣式上 都發(fā)生了質(zhì)的變化,有電子鬧鐘、數(shù)字鬧鐘等等。 第三節(jié)第三節(jié) 數(shù)字時鐘的原理介紹及功能要求數(shù)字時鐘的原理介紹及功能要求 一、數(shù)字時鐘的原理介紹一、數(shù)字時鐘的原理介紹 數(shù)字時鐘使用 4 個兩位的計數(shù)器來實現(xiàn),即百分秒計數(shù)器、秒計數(shù)器、 分計數(shù)器和小時計數(shù)器每個計數(shù)器又分別使用高低位 2 個計數(shù)器來實 現(xiàn)其中百分秒數(shù)器是 100 進制計數(shù)器(高位 l0 進制計數(shù)器,低位

20、10 進制計 數(shù)器),秒計數(shù)器和分計數(shù)器是 60 進制計數(shù)器(高位 6 進制計數(shù)器,低位 10 進 制計數(shù)器),小時計數(shù)器是 24 迸制計數(shù)器(高位 2 進制計數(shù)器,低位 10 進制計 數(shù)器)。 數(shù)字時鐘首先是百分秒計數(shù)器按照系統(tǒng)時鐘 clk_50 進行計數(shù),計數(shù)滿 100 后向秒計數(shù)器進位秒計數(shù)器以百分秒計數(shù)器的進位位 cnl 為時鐘進行計 數(shù),計數(shù)滿 60 后向分計數(shù)器進位分計數(shù)器以秒計數(shù)器的進位位 cn2 為時鐘 進行計數(shù),計數(shù)滿 60 后向小時計數(shù)器進位小時計數(shù)器以分計數(shù)器的進位位 cn3 為時鐘進行計數(shù),計數(shù)滿 24 后整個系統(tǒng)從 0 開始重新進行計數(shù)。 二、數(shù)字時鐘的功能要求二、數(shù)

21、字時鐘的功能要求 時鐘功能:完成分/時的正確計數(shù)并顯示,秒由于數(shù)碼管數(shù)目的限制,采 取發(fā)光二極管做提示; 鬧鐘定時:實現(xiàn)定時提醒及定時報時,利用蜂鳴器發(fā)出報時聲音; 時鐘校時:當認為時鐘不準確時,可以分別對分/時鐘進行調(diào)整; 秒表功能:利用 4 個數(shù)碼管完成秒表顯示:其精度達 100ms,可以暫停, 并可隨時記時、暫停后記錄數(shù)據(jù),最大計時為 999.9s。 第四節(jié)第四節(jié) 本章小結(jié)本章小結(jié) 本章主要講述了數(shù)字時鐘系統(tǒng)及其發(fā)展情況,由于本設(shè)計主要是研究基 于 fpga 的數(shù)字時鐘設(shè)計,所以本節(jié)也講述了 fpga 數(shù)字時鐘的研究現(xiàn)狀, 包括課題相關(guān)技術(shù)的發(fā)展及研究的必要性。本章最后也對數(shù)字時鐘的原理

22、及 功能要求做了說明。 第二章第二章 fpga 數(shù)字系統(tǒng)的開發(fā)原理數(shù)字系統(tǒng)的開發(fā)原理 fpga 的開發(fā)原理對于了解和掌握 fpga 是非常重要的,首先必須了解 fpga 的基本工作原理,再是設(shè)計流程和基本原則,最后是 fpga 的基本結(jié) 構(gòu)和常見技術(shù)。 第一節(jié)第一節(jié) fpga 技術(shù)及其工作原理技術(shù)及其工作原理 一、一、 fpga 概述概述 fpga 是現(xiàn)場可編程門陣列(field programmable gate array)的簡稱,與 之相應(yīng)的 cpld 是復(fù)雜可編程邏輯器件(complex programmable logic device)的簡稱,兩者的功能基本相同,只是實現(xiàn)原理略有不

23、同,所以有時 可以忽略這兩者的區(qū)別,統(tǒng)稱為可編程邏輯器件或 cpld/fpga。cpld/ fpga 幾乎能完成任何數(shù)字器件的功能,上至高性能 cpu,下至簡單的 74 電 路。它如同一張白紙或是一堆積木,工程師可以通過傳統(tǒng)的原理圖輸入或硬 件描述語言自由的設(shè)計一個數(shù)字系統(tǒng)。通過軟件仿真可以事先驗證設(shè)計的正 確性,在 pcb 完成以后,利用 cpld/fpga 的在線修改功能,隨時修改設(shè)計 而不必改動硬件電路。使用 cpla/fpga 開發(fā)數(shù)字電路,可以大大縮短設(shè)計 時間,減少 pcb 面積,提高系統(tǒng)的可靠性。這些優(yōu)點使得 cpla/fpga 技術(shù) 在 20 世紀 90 年代以后得到飛速的發(fā)展

24、,同時也大大推動了 eda 軟件和硬件 描述語言 hdl 的進步。 二、二、fpga 的基本工作原理的基本工作原理 目前,xilinx 公司生產(chǎn)的 fpga 都采用基于 sram 工藝的查處表(look- up-table)結(jié)構(gòu),通過燒寫文件改變查找表內(nèi)容的方法實現(xiàn)對 fpga 的重復(fù) 配置,在使用時需要外接一個片外存儲器以保存程序。上電時,fpga 將外 部存儲器中的數(shù)據(jù)讀入片內(nèi) ram,完成配置后,進入工作狀態(tài);掉電后 fpga 恢復(fù)白片,內(nèi)部邏輯消失。由數(shù)字電路的基本知識可以知道,對于一 個 n 輸入的邏輯運算,不管是與或非運算還是異或運算等,最多只可能存在 2n 種結(jié)果。所以,如果事先

25、將相應(yīng)的結(jié)果存放于一個存儲單元,就相當于實 現(xiàn)了與非門電路的功能。fpga 的原理也是如此,它通過燒寫文件去配置查 找表的內(nèi)容,從而在相同的電路情況下實現(xiàn)了不同的邏輯功能。 查找表(look-up-table)簡稱為 lut,lut 本質(zhì)上就是一個 ram。目前 fpga 中多使用 4 輸入的 lut,所以每一個 lut 可以看成是一個 4 位地址線 的 ram。當用戶通過原理圖或 hdl 語言描述一個邏輯電路后,pld/fpga 開發(fā)軟件會自動計算邏輯電路的所有可能結(jié)果,并把真值表(即結(jié)果)寫入 ram,這樣,每輸入有一個信號進行邏輯運算就等于輸入一個地址去進行查 找表,找出地址對應(yīng)的內(nèi)容,

26、然后輸出即可。 第二節(jié)第二節(jié) fpga 的設(shè)計流程的設(shè)計流程 fpga 的基本開發(fā)流程主要包括設(shè)計輸入(design entry) 、設(shè)計仿真 (simulation) 、設(shè)計綜合(synthesize) 、布局布線(place / inputs reg clk; reg 7:0 din; / outputs wire 7:0 dout; / instantiate the unit under test (uut) test uut .clk(clk), 第四節(jié)第四節(jié) 本章小結(jié)本章小結(jié) 本章主要是講述 fpga 的開發(fā)平臺,包括軟件開發(fā)平臺和硬件開發(fā)平臺。 所以主要介紹了 verilog h

27、dl 個方面的知識,并對 xilinx 公司的 ise 軟件做 了說明。硬件也是采用 xilinx 公司的 aquila 板卡,本章對 aquila 板卡以及主 要模塊做了非常詳細的說明。 第四章第四章 數(shù)字時鐘的設(shè)計與實現(xiàn)數(shù)字時鐘的設(shè)計與實現(xiàn) 本設(shè)計最重要的部分是它的設(shè)計與實現(xiàn),以及數(shù)字時鐘能實現(xiàn)的功能, 本章主要介紹了數(shù)字時鐘的系統(tǒng)的總體設(shè)計,系統(tǒng)結(jié)構(gòu),功能描述和單元電 路設(shè)計。 第一節(jié)第一節(jié) 系統(tǒng)的總體設(shè)計系統(tǒng)的總體設(shè)計 振蕩器產(chǎn)生穩(wěn)定的高頻脈沖信號,作為數(shù)字鐘的時間基準,然后經(jīng)過分 頻器輸出標準秒脈沖。秒計數(shù)器滿 60 后向分計數(shù)器進位,分計數(shù)器滿 60 后 向小時計數(shù)器進位,小時計數(shù)

28、器按照“24 翻 1”規(guī)律計數(shù)。計滿后各計數(shù)器清 零,重新計數(shù)。 數(shù)字鐘實際上是一個對標準頻率(1hz)進行計數(shù)的計數(shù)電路。由于計 數(shù)的起始時間不可能與標準時間(如北京時間)一致,故需要在電路上加一 個校時電路,同時標準的 1hz 時間信號必須做到準確穩(wěn)定。通常使用石英晶 體振蕩器電路構(gòu)成數(shù)字鐘。 由于 fpga 芯片是基于 sram 工藝的,不具備非易失特性,斷電后將丟 失內(nèi)部邏輯配置。在每次上電后,都需要從外部非易失存儲器中導(dǎo)入配置比 特流。因此選擇外部 flash 芯片,由 fpga 芯片 xc3sd3400a 實現(xiàn)時鐘控制 模塊和人機交互模塊,如圖 4.1 所示。 flash 鍵盤 l

29、ed數(shù)碼管 電源模塊 25mhz蜂鳴器 圖 4. 1 系統(tǒng)總體框圖 第二節(jié)第二節(jié) 系統(tǒng)結(jié)構(gòu)系統(tǒng)結(jié)構(gòu) 圖 4.2 為數(shù)字時鐘的系統(tǒng)結(jié)構(gòu)圖,下面介紹一下各主要結(jié)構(gòu)的功能: 時鐘產(chǎn)生電路。將開發(fā)板上的時鐘信號經(jīng)過分頻得到不同頻率的時鐘, 分別作用于定時計數(shù)、調(diào)整 led 閃爍、去除按鍵抖動和 led 掃描。 控制邏輯電路。完成電子鐘的系統(tǒng)邏輯控制,包括計時控制、時間設(shè) 定、顯示掃描的邏輯控制,可完成系統(tǒng)復(fù)位、設(shè)定和調(diào)整時間的功能。在本 設(shè)計中控制邏輯電路中增加了按鍵消除抖動電路,所有按鍵信號在作用前都 經(jīng)過按鍵消除抖動電路,將不該存在的噪聲慮掉可防止計數(shù)超過一次的誤操 作。 計時電路。主要按照時鐘模

30、式完成計時功能。 譯碼電路。根據(jù)計時模塊的狀態(tài)輸出值來確定對應(yīng)位的數(shù)據(jù),從而驅(qū) 動顯示電路。 顯示控制電路。主要執(zhí)行選擇所對應(yīng)位的數(shù)據(jù)功能,顯示正確的時間。 控制邏輯電路時鐘產(chǎn)生電路 計時電路譯碼電路顯示控制電路 led顯示 25mhz 圖 4.2 數(shù)字時鐘的系統(tǒng)結(jié)構(gòu)圖 第三節(jié)第三節(jié) 功能描述功能描述 圖 4.3 為數(shù)字時鐘頂層原理圖。本系統(tǒng)實現(xiàn)以下四方面功能:時鐘功能: 完成分/時的正確計數(shù)并顯示,秒采用發(fā)光二極管做提示;鬧鐘定時:實現(xiàn) 定時提醒及定時報時,利用蜂鳴器實現(xiàn);時鐘校時:當認為時鐘不準確時, 可以分別對分/時鐘進行調(diào)整;秒表功能:利用 4 個數(shù)碼管完成秒表顯示: 其精度達 100

31、ms,可以暫停,并可隨時記時、暫停后記錄數(shù)據(jù),最大計時為 999.9s。 下面介紹一下各主要引腳的功能: sclk:25mhz 的系統(tǒng)基準時鐘輸入,作為七段碼管掃描頻率。將其分 頻可得到 1hz 的數(shù)字鐘工作頻率。 reset:系統(tǒng)復(fù)位信號, 低電平有效。復(fù)位后顯示 00-00。 mode:用于選擇此時數(shù)碼管顯示的時哪個狀態(tài),mode=00 時為普通時鐘 狀態(tài),mode=01 時為鬧鈴定時狀態(tài),mode=10 時為時鐘校時狀態(tài)。 turn:調(diào)節(jié)時和分信號,當 turn=1 時,調(diào)整分位;當 turn=0 時,調(diào)整小 時位。 display: 七段數(shù)碼管顯示輸出。 圖 4.3 數(shù)字時鐘頂層原理圖

32、 第四節(jié)第四節(jié) 單元電路設(shè)計單元電路設(shè)計 一、分頻模塊電路設(shè)計與實現(xiàn)一、分頻模塊電路設(shè)計與實現(xiàn) 晶體振蕩器是構(gòu)成數(shù)字式時鐘的核心,振蕩器的穩(wěn)定度及頻率的精度決 定了數(shù)字鐘計時的準確程度,它保證了時鐘的走時準確及穩(wěn)定。 石英晶體的選頻特性非常好,只有某一頻率點的信號可以通過它,其它 頻率段的信號均會被它所衰減,而且,振蕩信號的頻率與振蕩電路中的 r、c 元件的數(shù)值無關(guān)。因此,這種振蕩電路輸出的是準確度極高的信號。然 后再利用分頻電路,將其輸出信號轉(zhuǎn)變?yōu)槊胄盘?,其組成框圖如圖 4.4 所示。 晶振分頻電路秒信號 圖 4.4 秒信號產(chǎn)生電路框圖 本系統(tǒng)使用的晶體振蕩器電路給數(shù)字鐘提供一個頻率穩(wěn)定準確

33、的 25mhz 的方波信號,其輸出至分頻電路。分頻電路的邏輯框圖如圖 4.5 所示。 圖 4.5 分頻電路模塊 二、鍵盤接口二、鍵盤接口 1.按鍵消抖按鍵消抖 本模塊用于當有按鍵按下時,采用軟件消抖的辦法去除按鍵抖動。采用 25m 的時鐘頻率,每個時鐘上升沿的時候檢測一次按鍵是否按下,即按鍵所 送的值是否為“1”,若在 10ms 的檢測時間內(nèi),按鍵所送的值始終為“1”,則說 明,按鍵確實按下;若在 10ms 內(nèi),所送的值由“1”變?yōu)椤?”,則說明按鍵的閉 合是機械不良接觸引起的,系統(tǒng)所接收的按鍵值依然為“0”。 2.鍵掃描模塊鍵掃描模塊 鍵掃描模塊的框圖如圖 6 所示。鍵掃描的基本方法是將列線

34、逐一置成低 電平,然后讀行線輸入端口,如果行線中有低電平出現(xiàn),說明按鍵已經(jīng)確定, 將行向量和列向量讀入鍵碼中即可。 圖 4.6 鍵掃描模塊 三、顯示模塊設(shè)計與實現(xiàn)三、顯示模塊設(shè)計與實現(xiàn) 顯示模塊采用 4 位 7 段共陽數(shù)碼管,其電路圖如圖 4.7 所示。 圖 4.7 顯示模塊電路圖 使用同步掃描電路,對 4 個位控制端口進行掃描,使得同一個時刻只有 一個數(shù)碼管亮,每隔 0.5ms 掃描一次,由于眼睛的視覺停留效應(yīng),會使得顯 示結(jié)果達到 4 位同時亮的效果。這樣可以節(jié)省 3/4 的電能消耗。 代碼設(shè)計: 1、采用 0.5ms 的掃描時鐘 clk2,上升沿觸發(fā)。將系統(tǒng) 25m 時鐘分頻, 即可獲得

35、周期為 0.5ms 的時鐘信號。 2、設(shè)置標志信號 i,循環(huán)取 0,1,2,3 四個數(shù)值。 i=0:顯示小時的高位; i=1:顯示小時的低位; i=2:顯示分鐘的高位; i=3:顯示分鐘的低位; 3、每個 clk2 的上升沿觸發(fā)時,執(zhí)行 i 值對應(yīng)的分支,如此循環(huán),實現(xiàn) 4 位同亮的效果。 第五節(jié)第五節(jié) 本章小結(jié)本章小結(jié) 本章對數(shù)字時鐘的設(shè)計與實現(xiàn)做了詳細的說明。首先講述的是系統(tǒng)的總 體設(shè)計,接著是系統(tǒng)的結(jié)構(gòu),再是功能描述,講述了本數(shù)字時鐘能實現(xiàn)的功 能,最后是單元電路設(shè)計,主要包括分頻模塊電路的設(shè)計與實現(xiàn)和鍵盤接口。 第五章第五章 系統(tǒng)實現(xiàn)與驗證系統(tǒng)實現(xiàn)與驗證 實驗結(jié)果是源代碼首先在 xil

36、inx ise11 軟件上進行仿真、綜合,通過后 下載到 aquila 系列開發(fā)板上,在 fpga 器件上的試驗結(jié)果表明上述功能全部 正確,工作穩(wěn)定良好。 第一節(jié)第一節(jié) 仿真與驗證仿真與驗證 程序經(jīng) xilinx ise11.1 集成開發(fā)環(huán)境里的綜合工具完成綜合后,再使用 isim 實現(xiàn)功能仿真。仿真波形圖如圖 5.1 所示 圖 5.1 仿真波形圖 功能仿真結(jié)束后,利用綜合工具對 verilog hdl 源碼進行綜合,生成網(wǎng) 表文件, 再根據(jù)該網(wǎng)表文件和所選可編程邏輯器件 xc3sd3400a 進行優(yōu)化、 布局布線,然后進行布線后仿真,最后生成比特文件。 第二節(jié)第二節(jié) 下載與配置下載與配置 針

37、對不同的器件類型和應(yīng)用場合,xilinx 公司為其 fpga 系列產(chǎn)品提供 了多種下載配置模式,常見的有一下幾種方式。 jtag 模式是基于 ieee1149.1 和 ieee1532 的下載配置模式,通過 tdi(數(shù)據(jù)輸入) 、tdo(數(shù)據(jù)輸出) 、tms(測試模式)和 tck(測試時鐘) 等四根信號線實現(xiàn) fpga 的下載與配置。在 jatg 模式中需要其他可編程微 控制器的支持。 parallel 模式僅支持 virtex 系列和 spartan系列器件,通過 8bit 的并 行數(shù)據(jù)下載,實現(xiàn) fpga 的高速配置。parallel 模式的配置時鐘 cclk 由 fpga 外部提供。 m

38、aster serial 模式支持 xilinx 公司的所有 fpga 產(chǎn)品。master serial 模式通過讀取串行 prom 的數(shù)據(jù),實現(xiàn) fpga 的在線配置。在 master serial 模式中必須使用 xilinx 公司專用的 prom。master serial 模式的配置時鐘 cclk 源于 fpga 內(nèi)部。 slave serial 模式支持 xilinx 公司的所有 fpga 產(chǎn)品。slave serial 模式 類似于 master serial 模式,但其配置時鐘 cclk 由 fpga 外部提供。在 slave serial 模式中需要其他可編程微控制器支持。 x

39、ilinx 公司提供兩種 prom 對其 fpga 系列產(chǎn)品進行在線配置。其中, xc1800 系列 prom 可多次擦寫,支持 jtag 在線編程。xc1700 系列 prom 為一次性編程器件,不支持 jtag 在線編程。使用第三方編程器對 xilinx 公 司的 prom 系列產(chǎn)品進行下載配置時,需要對 fpga 設(shè)計文件進行格式轉(zhuǎn)換。 在同一個 fpga 的下載配置電路中,為了滿足不同應(yīng)用要求,可以通過改變 fpga 的 m2、m1 和 m0 管腳連接,實現(xiàn) fpga 下載配置模式的切換,即利 用同一下載配置電路可以實現(xiàn)多種下載配置模式。 aquila 硬件板卡采用 master sp

40、i 模式配置 fpga,典型的 spi 系統(tǒng)包括 1 個 master device 和至少 1 個 slave device。fpga 是 master device ,spi flash prom 是 slave device。通過 ise 將 bit 文件轉(zhuǎn)換成 mcs 文件下載到 flash 里面。 第三節(jié)第三節(jié) 系統(tǒng)測試結(jié)果系統(tǒng)測試結(jié)果 數(shù)字時鐘實物圖如圖 5.2 所示,實現(xiàn)了預(yù)期的功能:時鐘功能、時鐘校時、 秒表功能、鬧鐘定時。 圖 5.2 數(shù)字時鐘實物圖 結(jié)結(jié) 論論 本設(shè)計的數(shù)字時鐘是基于 fpga 來實現(xiàn)的,fpga 采用了邏輯單元陣列 lca 這樣一個概念,內(nèi)部包括可配置邏輯

41、模塊clb、輸出輸入模塊 iob 和內(nèi)部連線( interconnect)三個部分,用于數(shù)字時鐘的研究是非常主要的。 本設(shè)計采用 xilinx 公司的 aquila 板卡用于數(shù)字時鐘的設(shè)計,通過 設(shè) 計輸入、設(shè)計綜合、設(shè)計仿真、實現(xiàn)和下載這五個主要步驟來完成。基本上 實現(xiàn)了數(shù)字時鐘的基本功能要求,即: 時鐘功能:完成分/時的正確計數(shù)并顯示,秒采用發(fā)光二極管做提示; 鬧鐘定時:實現(xiàn)定時提醒及定時報時,利用蜂鳴器實現(xiàn); 時鐘校時:當認為時鐘不準確時,可以分別對分/時鐘進行調(diào)整; 秒表功能:利用 4 個數(shù)碼管完成秒表顯示:其精度達 100ms,可以暫停, 并可隨時記時、暫停后記錄數(shù)據(jù),最大計時為 9

42、99.9s。 本文采用 fpga 來做數(shù)字時鐘比起單片機來運行速度更快,更有能力處 理復(fù)雜功能的能力,而且 fpga 有大量軟核,可以方便進行二次開發(fā)。 致致 謝謝 在論文完成之際,我首先要向指導(dǎo)老師蔡軍老師表示最真摯的謝意。蔡 軍老師認真負責的工作態(tài)度,嚴謹?shù)闹螌W精神和深厚的理論水平都使我受益 匪淺。無論在理論上還是在實踐中,都給與我很大的幫助。在理論上,老師 耐心講解電路原理圖的繪制,以及元器件的性能;在實際操作中,從系統(tǒng)的 設(shè)計到最終系統(tǒng)的調(diào)試,老師都給予了諸多幫助,使我得到不少的提高,這 是在這次設(shè)計中我所得到的最寶貴的財富。對于我以后的工作和學習都是一 種巨大的幫助,感謝他耐心的輔導(dǎo)

43、。 在這次畢業(yè)設(shè)計中,我的專業(yè)知識水平也取得一定的進步。而這些進步 都離不開老師和同學的幫助。在此我向曾經(jīng)幫助和關(guān)心我的老師和同學致以 誠摯的感謝。 由于本人學識有限,加之時間倉促,文中不免有錯誤和待改進之處,真 誠歡迎各位師長、同學提出寶貴意見。 參考文獻參考文獻 1jbabb, rtessier and aagarwalvirtual wires: overcoming pin limitations in fpga-based logic emulatorspresented at the ieee workshop on fpga for custom computing machin

44、es, apr1993 2夏宇聞verilog 數(shù)字系統(tǒng)設(shè)計教程(第二版)m北京:北京航空航天 大學出版社,2008 3張國斌.fpga 開發(fā)全攻略工程師創(chuàng)新設(shè)計寶典m2009 4bhaske.r. a verilog hdl primer . allentownj 5杜生海邢聞.fpga 設(shè)計指南:器件、工具和流程m北京:人民郵電 出版社,2007 6杜慧敏 基于 verlog 的 fpga 設(shè)計基礎(chǔ)m西安:西安電子科技大學 出版社,2006 7xilinx 公司網(wǎng)站: 8劉嵐fpga 應(yīng)用技術(shù)基礎(chǔ)教程m北京:電子工業(yè)出版社2009 9聶雄基于 verilog hdl 的 led 顯示屏掃描

45、控制模塊的實現(xiàn)j 2007 10王云 周正華 鄒繼軍 基于 veriloghdl 的數(shù)字時鐘表設(shè)計j2008 11 李俊一 牛萍娟 基于 verilog hdl 設(shè)計的多功能數(shù)字鐘j天津工業(yè)大 學 12 李兆靜 fpga 內(nèi)全數(shù)字延時鎖相環(huán)的設(shè)計j 20090501 13 徐文波 xilinx fpga 開發(fā)實用教程m 北京: 清華大學出版社 2008 14 薛小剛 葛毅敏 xilinx ise 9.x fpga/cpld 設(shè)計指南m北京:人民 郵 電出版社 2007 15 包本剛 朱湘萍 基于 eda 技術(shù)的多功能數(shù)字時鐘的 as l c 設(shè)計j 中 文核心期刊微計算機信息 2008 年第

46、24 卷第 122 期 附附 錄錄 一、英文原文一、英文原文 progress in almost every field of science depends on the contributions made by systematic research; thus research is often viewed as the cornerstone of scientific progress. broadly defined, the purpose of research is to answer questions and acquire new knowledge. resea

47、rch is the primary tool used in virtually all areas of science to expand the frontiers of knowledge. for example, research is used in such diverse scientific fields as psychology, biology, medicine, physics, and botany, to name just a few of the areas in which research makes valuable contributions t

48、o what we know and how we think about things. among other things, by conducting research, researchers attempt to reduce the complexity of problems, discover the relationship between seemingly unrelated events, and ultimately improve the way we live. although research studies are conducted in many di

49、verse fields of science, the general goals and defining characteristics of research are typically the same across disciplines. for example, across all types of science, research is frequently used for describing a thing or event, discovering the relationship between phenomena, or making predictions

50、about future events. in short, research can be used for the purposes of description, explanation, and prediction, all of which make important and valuable contributions to the expansion of what we know and how we live our lives. in addition to sharing similar broad goals, scientific research in virt

51、ually all fields of study shares certain defining characteristics, including testing hypotheses, careful observation and measurement, systematic evaluation of data, and drawing valid conclusions. in recent years, the results of various research studies have taken center stage in the popular media. n

52、o longer is research the private domain of research professors and scientists wearing white lab coats. to the contrary, the results of research studies are frequently reported on the local evening news, cnn, the internet, and various other media outlets that are accessible to both scientists and non

53、scientists alike. for example, in recent years, we have all become familiar with research regarding the effects of stress on our psychological well-being, the health benefits of a lowcholesterol diet, the effects of exercise in preventing certain forms of cancer, which automobiles are safest to driv

54、e, and the deleterious effects of pollution on global warming. we may have even become familiar with research studies regarding the human genome, the mars land rover, the use of stem cells, and genetic cloning. not too long ago, it was unlikely that the results of such highly scientific research stu

55、dies would have been shared with the general public to such a great extent. despite the accessibility and prevalence of research in todays society, many people share common misperceptions about exactly what research is, how research can be used, what research can tell us, and the limitations of rese

56、arch. for some people, the term “research” conjures up images of scientists in laboratories watching rats run through mazes or mixing chemicals in test tubes. for other people, the term “research” is associated with telemarketer surveys, or people approaching them at the local shopping mall to “just

57、 ask you a few questions about your shopping habits.” in actuality, these stereotypical examples of research are only a small part of what research comprises. it is therefore not surprising that many people are unfamiliar with the various types of research designs, the basics of how research is cond

58、ucted, what research can be used for, and the limits of using search to answer questions and acquire new knowledge. before addressing these important issues, however, we should first briefly review what science is and how it goes about telling us what we know. what exactly is research? correlational

59、 research: in correlational research, the goal is to determine whether two or more variables are related. (by the way, “variables” is a term with which you should be familiar. a variable is anything that can take on different values, such as weight, time, and height.) for example, a researcher may b

60、e interested in determining whether age is related to weight. in this example, a researcher may discover that age is indeed related to weight because as age increases, weight also increases. if a correlation between two variables is strong enough, knowing about one variable allows a researcher to ma

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
  • 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論