基于FPGA的FIR低通濾波器的設(shè)計(jì)_第1頁
基于FPGA的FIR低通濾波器的設(shè)計(jì)_第2頁
基于FPGA的FIR低通濾波器的設(shè)計(jì)_第3頁
基于FPGA的FIR低通濾波器的設(shè)計(jì)_第4頁
基于FPGA的FIR低通濾波器的設(shè)計(jì)_第5頁
已閱讀5頁,還剩2頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、本科生畢業(yè)論文(設(shè)計(jì))題目 基于fpga的fir低通濾波器的設(shè)計(jì) 姓名 王俊喜 學(xué)號(hào) 2010234758 院系 信息技術(shù)與傳播學(xué)院 專業(yè) 電子信息與科學(xué)技術(shù) 指導(dǎo)教師 代凌云 職稱 講師 年 月 日目錄摘要1關(guān)鍵詞1abstract1key words1引言2第2章fir濾波器的結(jié)構(gòu)及實(shí)現(xiàn)方法3 2.1fir濾波器的特點(diǎn)3 2.2fir濾波器的基本結(jié)構(gòu)3基于fpga的fir低通濾波器的設(shè)計(jì)電子信息與科學(xué)技術(shù) 專業(yè) 學(xué)生 王俊喜指導(dǎo)教師 代凌云摘要:隨著雷達(dá)、圖像、通信等領(lǐng)域?qū)π盘?hào)高速處理的要求,研究人員正尋求高速的數(shù)字信號(hào)處理算法,以滿足這種高速地處理數(shù)據(jù)的需要。而fir濾波器具有嚴(yán)格的線性

2、相位,數(shù)字濾波器在數(shù)字信號(hào)處理中占有很重要的地位,它涉及領(lǐng)域很廣,如通信系統(tǒng)、航空航天、電力系統(tǒng)、系統(tǒng)控制、故障檢測、自動(dòng)化儀器等。常用的高速實(shí)時(shí)數(shù)字信號(hào)處理的器件有 asic、可編程的數(shù)字信號(hào)處理芯片(如 ti 系列、ad系列等)、fpga,等等。fpga具有的靈活的可編程邏輯可以方便的實(shí)現(xiàn)高速數(shù)字信號(hào)處理,突破了并行處理、流水級(jí)數(shù)的限制,有效地利用了片上資源,加上反復(fù)的可編程能力,越來越受到國內(nèi)外從事數(shù)字信號(hào)處理的研究者所青睞。數(shù)字濾波器的好壞對(duì)眾多工程技術(shù)領(lǐng)域影響很大,一個(gè)好的數(shù)字濾波器會(huì)有效的推動(dòng)眾多工程技術(shù)領(lǐng)域的技術(shù)改造和學(xué)科發(fā)展。隨著微電子技術(shù)的發(fā)展,采用現(xiàn)場可編程門陣列fpga進(jìn)

3、行數(shù)字信號(hào)處理得到了飛速發(fā)展。關(guān)鍵詞:數(shù)字信號(hào)處理、fir濾波器、現(xiàn)場可編程門陣列、asic等等。based on the fpga fir low-pass filter designelectronic information and science and technology professional wang jun xi studentinstructor dai ling yunabstract:with the radar, image, communications and other areas of the high-speed signal processing, re

4、searchers are looking for high-speed digital signal processing algorithms, high-speed processing of data in order to meet this need. fir filter has a strict linear phase digital filters in digital signal processing plays a very important position, it involves wide range of areas such as communicatio

5、ns systems, aerospace, power systems, system control, fault detection, automation equipment, etc. . devices commonly used in high-speed real-time digital signal processing asics, programmable digital signal processing chips (such as tis family of the ad series), fpgas, and so on. fpga has the flexib

6、ility of programmable logic can easily achieve high-speed digital signal processing, broke through the limitations of parallel processing, water series, and effective use of chip resources, coupled with repeated programmability, more and more engaged by domestic and foreign digital signal processing

7、 researchers favor. the digital filter is good or bad a great impact on a number of engineering and technical fields, a good digital filter will be effective in promoting a number of engineering and technical field of technological innovation and interdisciplinary development. with the development o

8、f microelectronics technology, the use of field programmable gate array fpga for digital signal processing has made rapid development.key words:digital signal processing, fir filter, field programmable gate arrays, asics, etc.引言首先概要介紹課題的選題背景和國內(nèi)外研究現(xiàn)狀,以及本文所使用的研究方法和主要做的工作。一、課題的選題背景許多工程技術(shù)領(lǐng)域都涉及到信號(hào),這些信號(hào)包括

9、電的、磁的、機(jī)械的、熱的、聲的、光的及生物的等等。如何在較強(qiáng)的背景噪聲和干擾信號(hào)下提取出真正信號(hào)并應(yīng)用于工程,這正是信號(hào)處理要研究解決的問題。20世紀(jì)60年代,數(shù)字信號(hào)處理理論得到迅猛發(fā)展理論體系和框架趨于成熟,到現(xiàn)在已經(jīng)成為一門獨(dú)立的理論學(xué)科。數(shù)字濾波器在數(shù)字信號(hào)處理中占有很重要的地位,它涉及領(lǐng)域很廣,如通信系統(tǒng)、系統(tǒng)控制、航空航天、電力系統(tǒng)、故障檢測、自動(dòng)化儀器等。數(shù)字濾波器的好壞對(duì)眾多工程技術(shù)領(lǐng)域影響很大,一個(gè)好的數(shù)字濾波器會(huì)有效的推動(dòng)眾多工程技術(shù)領(lǐng)域的技術(shù)改造和學(xué)科發(fā)展。隨著微電子技術(shù)的發(fā)展,采用現(xiàn)場可編程門陣列fpga進(jìn)行數(shù)字信號(hào)處理得到了飛速發(fā)展。由于fpga具有現(xiàn)場可編程的特點(diǎn),

10、可以實(shí)現(xiàn)專用集成電路,因此越來越受到硬件電路設(shè)計(jì)工程師們的青睞。二、國內(nèi)外研究現(xiàn)狀在國內(nèi)研究中,設(shè)計(jì)fir濾波器所涉及的乘法運(yùn)算方式有:并行乘法、位串行乘法和采用分布式算法的乘法。自從xilinx公司1985年推出第一片fpga以來,由于集成度高、方便易用、開發(fā)和上市周期短,使得fpga器件在數(shù)字設(shè)計(jì)和電子生產(chǎn)中得到迅速普及和應(yīng)用,發(fā)展?jié)摿κ志薮蟆,F(xiàn)在fpga已經(jīng)發(fā)展到可以利用硬件乘加器、片內(nèi)儲(chǔ)存器、邏輯單元、流水處理技術(shù)等特有的硬件結(jié)構(gòu),高速完成fft 、fir 、復(fù)數(shù)乘加、卷積、三角函數(shù)以及矩陣運(yùn)算等數(shù)字信號(hào)處理。三、研究方法和主要的工作通常 fir濾波器設(shè)計(jì)實(shí)現(xiàn)大致可以分為兩類。一類是

11、軟件實(shí)現(xiàn),使用高級(jí)語言如 c/c+,matlab等,在通用的計(jì)算機(jī)上實(shí)現(xiàn)。這種方法多用于教學(xué)或算法仿真,不能實(shí)現(xiàn)實(shí)時(shí)性。另一種就是硬件實(shí)現(xiàn)。目前硬件實(shí)現(xiàn)有以下幾種方式。一種是使用通用的可編程 dsp芯片編程實(shí)現(xiàn),如 ti 的 dsp系列、ad的dsp 系列等,它們主要的數(shù)學(xué)運(yùn)算單元是乘累加器(mac)。mac 能在一個(gè)機(jī)器時(shí)鐘周期內(nèi)完成一次乘累加運(yùn)算,同時(shí)硬件上配備不同等級(jí)的流水結(jié)構(gòu)和哈佛結(jié)構(gòu),能夠?qū)崿F(xiàn)高速實(shí)時(shí)的數(shù)字信號(hào)處理。但由于固定的硬件結(jié)構(gòu)和流水等級(jí),使得在應(yīng)用上有所限制。同時(shí),就是同一公司的不同系列 dsp芯片,其編程的指令集也會(huì)有所不同,加大了開發(fā)周期。另一種就是采用可編程邏輯器件(

12、cpld/fpga)。fpga具有靈活的可編程邏輯,突破了并行處理與流水級(jí)數(shù)的限制,可以很好的實(shí)現(xiàn)信號(hào)處理的實(shí)時(shí)性。同時(shí),開發(fā)程序的可移植性好,可以縮短開發(fā)周期。目前,使用 fpga設(shè)計(jì) fir濾波器常用乘法器結(jié)構(gòu)和分布式算法結(jié)構(gòu)。使用乘法器結(jié)構(gòu)又有采用乘累加結(jié)構(gòu),并行乘法器結(jié)構(gòu)。乘累加結(jié)構(gòu)是最簡單的一種,占用資源少,缺點(diǎn)是處理速度慢,可用于處理速度要求不高。并行乘法器結(jié)構(gòu)相對(duì)要復(fù)雜,如果加上流水結(jié)構(gòu),能實(shí)現(xiàn)較高速的信號(hào)處理,能夠滿足一定的實(shí)時(shí)性。但是這種結(jié)構(gòu)受乘法器處理速度和個(gè)數(shù)的限制。分布式算法(da)巧妙的利用 rom 查找表將固定系數(shù)的乘累加運(yùn)算轉(zhuǎn)換成查找表操作,避免了乘法運(yùn)算。同時(shí),

13、查找表后的數(shù)據(jù)執(zhí)行的都是簡單的加法運(yùn)算,可以較大程度地提高運(yùn)算速度和插入流水。這種方法是目前比較常用的基于 fpga設(shè)計(jì) fir濾波器的方法。第一章fir濾波器的結(jié)構(gòu)本章簡要介紹 fir濾波器的結(jié)構(gòu)及設(shè)計(jì)方法,并詳細(xì)分析,以尋找最佳的設(shè)計(jì)方案。2.1 fir濾波器的特點(diǎn) 系統(tǒng)的單位沖激響應(yīng)h(n)有限個(gè)n值處不為零; 系統(tǒng)函數(shù)h(z)在z0處只有零點(diǎn),即在有限z平面只有零點(diǎn),而全部極點(diǎn)在z=0處(因果系統(tǒng)); 在結(jié)構(gòu)上主要是非遞歸結(jié)構(gòu),沒有輸出到輸入的反饋,但有些結(jié)構(gòu)(例如頻率抽樣結(jié)構(gòu))也含有反饋的遞歸部分。設(shè)fir濾波器的單位沖激響應(yīng)h(n)為一個(gè)n點(diǎn)序列,0nn-1,則濾波器的系統(tǒng)函數(shù)為

14、h(z)= h(n)z-n就是說,它有(n-1)階極點(diǎn)在z=0處,它有(n-1)個(gè)零點(diǎn)位于有限z平面的任何位置。2.2fir濾波器的結(jié)構(gòu)橫截型系統(tǒng)的差分方程表達(dá)式為 y(n)=h(m)x(n-m) 很明顯,這就是線性移不變系統(tǒng)的卷積和公式,也是x (n)的延時(shí)鏈的橫向結(jié)構(gòu),如圖2.1所示,稱為橫截型結(jié)構(gòu)或卷積型結(jié)構(gòu),也可稱為直接型結(jié)構(gòu)。圖 2.1 直接型數(shù)字 fir 濾波器結(jié)構(gòu)圖將轉(zhuǎn)置定理用于圖2.1,可得到圖2.3的轉(zhuǎn)置直接型結(jié)構(gòu)。 圖 2.2 轉(zhuǎn)置型數(shù)字 fir 濾波器結(jié)構(gòu)圖級(jí)聯(lián)型將h (z)分解成實(shí)系數(shù)二階因子的乘積形式 其中n/2表示取n/2的整數(shù)部分。若n為偶數(shù),則n1為奇數(shù),故系數(shù)

15、b2k中有一個(gè)為零,這是因?yàn)?,這時(shí)有奇數(shù)個(gè)根,其中復(fù)數(shù)根成共軛對(duì)必為偶數(shù),必然有奇數(shù)個(gè)實(shí)根。圖2.3畫出n為奇數(shù)時(shí),fir濾波器的級(jí)聯(lián)結(jié)構(gòu),其中每一個(gè)二階因子用圖2.1的橫型結(jié)構(gòu)。 這種結(jié)構(gòu)的每一節(jié)控制一對(duì)零點(diǎn),因而再需要控制傳輸零點(diǎn)時(shí),可以采用它。但是這種結(jié)構(gòu)所需要的系數(shù)b2k(i = 0,1,2,k,= 1,2,n/2)比卷積型的系數(shù)h (n)要多,因而所需的乘法次數(shù)也比卷積型的要多。 圖18fir濾波器的級(jí)聯(lián)型結(jié)構(gòu) 頻率抽樣型在第三章中已說過,把一個(gè)有限長序列(長度為n點(diǎn))的z變換h (z)在單位圓上作n等分抽樣,就得到h (k),其主值序列就等于h (n)的離散傅里葉變換h (k)。那

16、里也說到用h (k)表示的h (z)的內(nèi)插公式為 這個(gè)公式就為fir濾波器提供了另外一種結(jié)構(gòu),這種結(jié)構(gòu)由兩部分級(jí)聯(lián)組成。 其中級(jí)聯(lián)的第一部分為 這是一個(gè)fir子系統(tǒng),是由n節(jié)延時(shí)單元構(gòu)成的梳狀濾波器,即hc (z)在單位圓上有n個(gè)等間隔角度的零點(diǎn),它的頻率響應(yīng)為 其子網(wǎng)絡(luò)結(jié)構(gòu)及頻率響應(yīng)幅度見圖22。 級(jí)聯(lián)的第二部分為 它是由n個(gè)一階網(wǎng)絡(luò)并聯(lián)組成,而這每一個(gè)一階網(wǎng)絡(luò)都是一個(gè)諧振器 令hk(z)的分母為零,即令 可得到此一階網(wǎng)絡(luò)在單位圓上有一個(gè)極點(diǎn) 圖23fir濾波器的頻率抽樣型結(jié)構(gòu)也就是說:此一階網(wǎng)絡(luò)在頻率為 處響應(yīng)為無窮大,故等效于諧振頻率為2k / n的無損耗諧振器。這個(gè)諧振器的極點(diǎn)正好與梳

17、狀濾波器的一個(gè)零點(diǎn)(i = k)相抵消,從而使這個(gè)頻率(= 2k / n)上的頻率響應(yīng)等于h (k)。這樣,n個(gè)諧振器的n個(gè)極點(diǎn)就和梳狀濾波器的n個(gè)零點(diǎn)相互抵消,從而在n個(gè)頻率抽樣點(diǎn)上(= 2k / n,k = 0,1,n 1)的頻率響應(yīng)就分別等于n個(gè)h (k)值。 頻率抽樣結(jié)構(gòu)的特點(diǎn)是它的系數(shù)h (k)就是濾波器在= 2k / n處的響應(yīng),因此控制濾波器的頻率響應(yīng)很方便。但是結(jié)構(gòu)中所乘的系數(shù)h (k)及wn都是復(fù)數(shù),增加了乘法次數(shù)和存儲(chǔ)量,而且所有極點(diǎn)都在單位圓上,由系數(shù)wn決定,這樣,當(dāng)系數(shù)量化時(shí),這些極點(diǎn)會(huì)移動(dòng),有些極點(diǎn)就不能被梳狀濾波器的零點(diǎn)所抵消(零點(diǎn)由延時(shí)單元決定,不受量化的影響)。系統(tǒng)就不穩(wěn)定了。 為了克服系數(shù)量化后可能不穩(wěn)定的缺點(diǎn),可以將頻率抽樣結(jié)構(gòu)做一點(diǎn)修正,即將所有零、極點(diǎn)都移到單位圓內(nèi)某一靠近單位圓、半徑為r (r小于或近似等于1)的圓上(r為正實(shí)數(shù))。 快速卷積結(jié)構(gòu)只要將兩個(gè)有限長序列補(bǔ)上一定的零值點(diǎn),就可以用圓周卷積來代替兩序列的線性卷積。由于時(shí)域的圓周卷積,等效到頻域則為離散傅立葉變換的乘積。因而,如果 即將輸入x (n)補(bǔ)上ln1個(gè)零值點(diǎn),將有限長單位沖激響應(yīng)h (n)補(bǔ)上ln2個(gè)零值點(diǎn),只要滿足l = n1 + n21,則l點(diǎn)的圓周卷積就能代表線性卷積,即 用dft表示,則有 y(k) =x(k)h(k) y(k) = dfty (n),l

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論