計(jì)算機(jī)組成原理試驗(yàn)指導(dǎo)書TDNCM教學(xué)版_第1頁
計(jì)算機(jī)組成原理試驗(yàn)指導(dǎo)書TDNCM教學(xué)版_第2頁
計(jì)算機(jī)組成原理試驗(yàn)指導(dǎo)書TDNCM教學(xué)版_第3頁
計(jì)算機(jī)組成原理試驗(yàn)指導(dǎo)書TDNCM教學(xué)版_第4頁
計(jì)算機(jī)組成原理試驗(yàn)指導(dǎo)書TDNCM教學(xué)版_第5頁
已閱讀5頁,還剩34頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、計(jì)算機(jī)組成原理實(shí)驗(yàn)指導(dǎo)書( 西安唐都科教儀器公司 TDN-CM系統(tǒng) )梁海英 整編2013年8月TDN-CM系統(tǒng)構(gòu)成1. 控制信號(hào)發(fā)生單元( JT UNIT ( TDN-CM)即 W/R UNIT(TDN-CM+) 用來轉(zhuǎn)換產(chǎn)生各單元電路所需的時(shí)序信號(hào)T1T4,以及外總線所需的讀/ 寫控制信號(hào) W/R。2. 時(shí)序電路單元( STATE UNIT) 其電路由四部分構(gòu)成:消抖電路( KK2)、時(shí)序控制( TS1、TS2、 TS3、 TS4)、時(shí)鐘信號(hào)源( )、撥動(dòng)二進(jìn)制開關(guān)組( STOP、 STEP)。用戶只需 將 信號(hào)與信號(hào)源的輸出插孔相連,然后按動(dòng)START(KK1)微動(dòng)開關(guān),根據(jù) STOP及

2、 STEP的狀態(tài), T1T4 將輸出有規(guī)則的方波信號(hào)。( 1)單拍脈沖及消抖電路在實(shí)驗(yàn)中 KK2一般用來作為單拍脈沖信號(hào)發(fā)生器; START已將其輸出 接入時(shí)序電路中的 START處,作為時(shí)序電路的啟動(dòng)開關(guān)。(2)時(shí)序控制電路、撥動(dòng)開關(guān)組STEP(單步)、 STOP(停機(jī))分別是來自實(shí)驗(yàn)臺(tái)上部的兩個(gè)二進(jìn)制開 關(guān) STEP、 STOP的模擬信號(hào)。啟動(dòng)是來自實(shí)驗(yàn)臺(tái)“ STATE UNIT”單元的 一個(gè)微動(dòng)開關(guān) START的按鍵信號(hào)。 當(dāng) STOP開關(guān)置為 RUN狀態(tài),STEP開關(guān) 置為 EXEC時(shí),按下 START,時(shí)序信號(hào) TS1TS4將周而復(fù)始的發(fā)送出去。 若 STEP開關(guān)置為 STEP狀態(tài)時(shí)

3、, 按下 START,機(jī)器處于單步運(yùn)行狀態(tài), 即 此時(shí)只發(fā)送一個(gè) CPU周期的時(shí)序信號(hào)就停機(jī)。利用單步方式,每次只產(chǎn) 生一條微指令,因而可以觀察微指令的代碼與當(dāng)前微指令的執(zhí)行結(jié)果。 另外,當(dāng)機(jī)器連續(xù)運(yùn)行時(shí),如果使STOP開關(guān)置 STOP,也會(huì)使機(jī)器停機(jī), 或?qū)?CLR開關(guān)置為零,也可使時(shí)序清零。3. 信號(hào)源單元“ SIGNAL UNIT”可先調(diào)節(jié) W1,使 H23 端輸出用戶期望的某一頻率的波形信號(hào),信號(hào) 的頻率在 30Hz-300Hz;然后,再調(diào)節(jié) W2使 H23 端輸出特定占空比的信號(hào), 供實(shí)驗(yàn)時(shí)選擇使用。4. 運(yùn)算器單元( ALU UNIT)運(yùn)算器單元由以下部分構(gòu)成: 兩片 74LS18

4、1構(gòu)成了并 -串型 8位 ALU; 兩個(gè) 8 位寄存器 DR1和 DR2作為暫存工作寄存器,保存參數(shù)或中間運(yùn)算 結(jié)果;ALU的輸出三態(tài)門 74LS245通過排針連到數(shù)據(jù)總線上; 一片 8 位的 移位寄存器 74LS299 可通過排針連到數(shù)據(jù)總線上; 由 GA(L general array logic ,通用陣列邏輯)和 74LS74 鎖存器組成進(jìn)位標(biāo)志控制電路和為零 標(biāo)志控制電路;進(jìn)位標(biāo)志和為零標(biāo)志指示燈。5. 寄存器堆單元( REG UNIT)寄存器堆單元由三片 8 位寄存器 R0、R1、R2 組成,它們用來保存操 作數(shù)及中間運(yùn)算結(jié)果等,三個(gè)寄存器的輸入已連至 BUS 總線,輸出共用 一個(gè)

5、 RJ1 引出,待用排線連至總線。6. 總線單元( BUS UNIT)包括 6 組排針,它們是橫向?qū)?yīng)連通的。 排針下方是和總線對(duì)應(yīng)的 8 位數(shù)據(jù)顯示燈,以顯示總線上的二進(jìn)制數(shù)值,將引出的排針與總線單元 用 8 孔排線連好,就可構(gòu)成相應(yīng)的實(shí)驗(yàn)電路的數(shù)據(jù)通路。7. 主存貯器單元( PRAM UNIT) 用于存貯實(shí)驗(yàn)中的機(jī)器指令。8. 微控器電路單元( MICRO-CONTROLLER UN)IT 本系統(tǒng)的微控器單元主要由編程部分和核心微控器部分組成。 編程部分是通過編程開關(guān)的相應(yīng)狀態(tài)選擇及由CLK、 CLK0引入的節(jié)拍脈沖的控制來完成將預(yù)先定義好的機(jī)器指令對(duì)應(yīng)的微代碼程序?qū)懭氲?2816 控制存

6、貯器中,并可以對(duì)控制存貯器中的機(jī)器代碼程序進(jìn)行校驗(yàn)。 該系統(tǒng)具有本機(jī)現(xiàn)場(chǎng)直接編程功能,且由于選用2816E2PROM芯片為控制存貯器,所以具備掉電保護(hù)功能。核心微控器主要完成接收機(jī)器指令譯碼器送來的代碼,使控制轉(zhuǎn)向 相應(yīng)機(jī)器指令對(duì)應(yīng)的首條微代碼程序,對(duì)該條機(jī)器指令的功能進(jìn)行解釋 或執(zhí)行的工作。更具體地講,就是通過接收CPU指令譯碼器發(fā)來的信號(hào),找到本條機(jī)器指令對(duì)應(yīng)的首條微代碼的微地址入口,再通過由CLK 引入的時(shí)序節(jié)拍脈沖的控制,逐條讀出微代碼。實(shí)驗(yàn)板上的微控器單元中的 24 位顯示燈( MD1 MD24)顯示的狀態(tài)即為讀出的微指令。然后,其中幾 位再經(jīng)過譯碼,一并產(chǎn)生實(shí)驗(yàn)板所需的相應(yīng)控制信號(hào)

7、,將它們加到數(shù)據(jù) 通路中相應(yīng)的控制位,可對(duì)該條機(jī)器指令的功能進(jìn)行解釋和執(zhí)行。指令 解釋到最后,再繼續(xù)接收下一條微代碼對(duì)應(yīng)的微地址入口,這樣周而復(fù) 始,即可實(shí)現(xiàn)機(jī)器指令程序的運(yùn)行。核心微控器同樣是根據(jù) 24 位顯示燈所顯示的相應(yīng)控制位, 再經(jīng)部分 譯碼產(chǎn)生的二進(jìn)制信號(hào)來實(shí)現(xiàn)機(jī)器指令程序順序、分支、循環(huán)運(yùn)行的, 所以,有效地定義 24 位微代碼對(duì)系統(tǒng)的設(shè)計(jì)至關(guān)重要。(1)核心微控器單元 通過編程開關(guān)的不同狀態(tài) , 可進(jìn)行微代碼的編程、校驗(yàn)、運(yùn)行在單元 電路原理圖中:?微地址顯示燈顯示的是后續(xù)微地址,而24 位顯示燈顯示的是后續(xù)地址的二進(jìn)制控制位。?CLK0為微地址鎖存器 (U24)的時(shí)鐘信號(hào),實(shí)驗(yàn)

8、板中已接至 “JT UNIT” 單元中的 T1 中。?2816 單元的片選信號(hào)( CS)在手動(dòng)狀態(tài)下一直為“ 0”,而在和 PC 聯(lián)機(jī)狀態(tài)下,受 89C51 控制。?MK1MK24為微控器的微代碼輸入二進(jìn)制開關(guān)。?MJ19 為微地址輸入端, 微控器實(shí)驗(yàn)中在編程和校驗(yàn)狀態(tài)時(shí), 我們可 通過它來人為的確定微地址單元并完成讀、寫操作。CLK為微代碼輸出鎖存器( U30、 U31、U32)及后續(xù)的微地址輸出鎖 存器的信號(hào)引出端, 實(shí)驗(yàn)板中已將 CLK接至“ JT UNIT”單元中的 T2。CLR 為清零信號(hào)的引出端,實(shí)驗(yàn)板中已接至“SWITCHU NIT”單元中最右邊的CLR開關(guān)上,所以此二進(jìn)制開關(guān)為

9、CLR專用。 SE1 SE6 端掛接到 CPU的指令譯碼器的輸出端,通過譯碼器確定相應(yīng)機(jī)器指令的微代碼入口處, 也可人為手動(dòng)模擬 CPU的指令譯碼器的輸出,達(dá)到同一目的。(2)編程器單元 在該實(shí)驗(yàn)電路中設(shè)有一編程開關(guān),它具有三種狀態(tài):PROM(編程)、READ(校驗(yàn))、RUN(運(yùn)行)。?處于編程狀態(tài)時(shí),微地址鎖存器( U24)讀有效,微代碼輸入三態(tài) 門( U18、U19、 U20)打開,后續(xù)微地址三態(tài)門( U29)關(guān)閉,同時(shí) 2816 寫有效,讀無效。此時(shí)若啟動(dòng)時(shí)序電路,即可將微代碼寫入相應(yīng)的微地 址中,并在相應(yīng)的顯示燈上顯示?處于校驗(yàn)狀態(tài)時(shí),微地址鎖存器讀有效,微代碼輸入三態(tài)門關(guān)閉, 后續(xù)微

10、地址三態(tài)門關(guān)閉,同時(shí) 2816 讀有效,寫無效。此時(shí)若啟動(dòng)時(shí)序電 路,即可將相應(yīng)的微地址中的微代碼讀出,并在顯示燈上顯示。?處于運(yùn)行狀態(tài)時(shí),微地址鎖存器關(guān)閉,微代碼輸入三態(tài)門關(guān)閉,后 續(xù)微地址三態(tài)門打開, 同時(shí) 2816 讀有效,寫無效。 此時(shí)若啟動(dòng)時(shí)序電路, 即從微地址顯示燈顯示的地址向下運(yùn)行。9. 指令寄存器單元( INS UNIT ) 指令寄存器單元中指令寄存器的輸出以排針形式引出, 構(gòu)成模型機(jī)時(shí)用 它作為指令譯碼電路的輸入,實(shí)現(xiàn)程序跳轉(zhuǎn)控制。10. 邏輯譯碼單元( LOG UNIT)本單元主要功能是根據(jù)機(jī)器指令及相應(yīng)的微代碼進(jìn)行譯碼使微程序轉(zhuǎn)入相應(yīng)的微地址入口,從而實(shí)現(xiàn)微程序的順序、分

11、支、循環(huán)運(yùn)行,及 三個(gè)工作寄存器 R0、R1、 R2的選通譯碼,它們共由兩片 GAL構(gòu)成。11. 輸入設(shè)備單元 ( DATAU NIT(TDN-CM)即 INPUT DEVICE(TDN-CM+) 使用 8 個(gè)撥動(dòng)開關(guān)作為輸入設(shè)備。12. 開關(guān)單元( SWITCH UNIT) 單元中的開關(guān)都可作為通用電路使用,為防止實(shí)驗(yàn)時(shí)接至二進(jìn)制開 關(guān)產(chǎn)生混亂,二進(jìn)制開關(guān)下方均有絲印字,所以實(shí)驗(yàn)連線時(shí)可將實(shí)驗(yàn)中 的各電平控制模擬信號(hào)接至相應(yīng)二進(jìn)制開關(guān)。連線時(shí)應(yīng)注意: 表示兩個(gè)引腳是導(dǎo)通的, 指同一個(gè)信號(hào); 表示兩個(gè)引腳沒有導(dǎo)通,指兩個(gè)不同的信號(hào) ( 以后均不再說明 ) 。實(shí)驗(yàn)一 算術(shù)邏輯運(yùn)算實(shí)驗(yàn)運(yùn)算器主要由

12、算術(shù)邏輯運(yùn)算部件 ALU、累加器、暫存器、通用寄存器 堆、移位器、進(jìn)位控制電路及其結(jié)果判斷電路等組成。實(shí)驗(yàn)一至實(shí)驗(yàn)三 均為運(yùn)算器實(shí)驗(yàn)。一、實(shí)驗(yàn)?zāi)康?了解運(yùn)算器的組成結(jié)構(gòu)、工作原理、設(shè)計(jì)方法。2掌握簡單運(yùn)算器的數(shù)據(jù)傳送通路。3驗(yàn)證運(yùn)算功能發(fā)生器 74LS181 的組合功能。二、實(shí)驗(yàn)設(shè)備TDN-CM教學(xué)實(shí)驗(yàn)系統(tǒng)一套三、實(shí)驗(yàn)原理實(shí)驗(yàn)中所用的運(yùn)算器數(shù)據(jù)通路圖如圖 1-1 。圖中所示的是由 兩片 74LS181 芯片以并 /串形式構(gòu)成的 8 位字長的運(yùn)算器。右方為低 4 位運(yùn)算芯片,左方 為高 4 位運(yùn)算芯片。 低位芯片的進(jìn)位輸出端 Cn+4 與高位芯片的進(jìn)位輸入端 Cn 相連,使低 4 位運(yùn)算產(chǎn)生的進(jìn)

13、位送進(jìn)高 4 位運(yùn)算中。低位芯片的進(jìn)位輸 入端 Cn 可與外來進(jìn)位相連,高位芯片的進(jìn)位輸出引至外部。兩個(gè)芯片的控 制端 S0S3 和 M 各自相連。為進(jìn)行雙操作數(shù)運(yùn)算,運(yùn)算器的兩個(gè)數(shù)據(jù)輸入端分別由兩個(gè)數(shù)據(jù)暫存器 DR1、DR2(用鎖存器 74LS273 實(shí)現(xiàn))來鎖存數(shù)據(jù)。要將內(nèi)總線上的數(shù)據(jù)鎖存 到DR1 或DR2 中,則鎖存器 74LS273 的控制端 LDDR1 或LDDR2 須為高電平 。 當(dāng) T4 脈沖來到的時(shí)候,總線上的數(shù)據(jù)就被鎖存進(jìn) DR1 或 DR2 中。為控制運(yùn)算器向內(nèi)總線上輸出運(yùn)算結(jié)果 ,在其輸出端連接了一個(gè)三態(tài)門 (用 74LS245 實(shí)現(xiàn))。若要將運(yùn)算結(jié)果輸出到總線上, 則

14、要將三態(tài)門 74LS245 的控制端 ALU-B置低電平 。數(shù)據(jù)輸入單元 (DATA UNIT)用以給出參與運(yùn)算的數(shù)據(jù)。其中,輸入開關(guān)經(jīng) 過一個(gè)三態(tài)門( 74LS245)和內(nèi)總線相連,該三態(tài)門的控制信號(hào)為 SW-B,取 低電平時(shí) , 開關(guān)上的數(shù)據(jù)則通過三態(tài)門送入內(nèi)總線中 。將“JT UNIT”單元中的 T4 接至“ STATE UNIT”單元中的微動(dòng)開關(guān) KK2 的輸出端 。在進(jìn)行實(shí)驗(yàn)時(shí), 按動(dòng)微動(dòng)開關(guān) ,即可獲得實(shí)驗(yàn)所需的單脈沖。總線數(shù)據(jù)顯示燈(在 BUS UNIT 單元中)已與內(nèi)總線相連,用來顯示內(nèi) 總線上的數(shù)據(jù)??刂菩盘?hào)中除 T4 為脈沖信號(hào),其它均為電平信號(hào)。 S3、S2、 S1、S0

15、 、Cn、M、LDDR1、LDDR2、ALU-B、SW-B 各電平控制信號(hào)則使用 “ SWITCH UNIT”單元中的二進(jìn)制數(shù)據(jù)開關(guān)來模擬,其中 Cn、ALU-B、 SW-B 為低電平 有效, LDDR1、LDDR2為 高電平有效。數(shù)據(jù)開關(guān)圖 1-1 運(yùn)算器通路圖對(duì)于單總線數(shù)據(jù)通路,作實(shí)驗(yàn)時(shí)就要分時(shí)控制總線,即當(dāng)向DR1、 DR2工作暫存器打入數(shù)據(jù)時(shí), 保證數(shù)據(jù)開關(guān)三態(tài)門打開, 運(yùn)算器輸出三態(tài)門關(guān)閉; 當(dāng)運(yùn)算器輸出結(jié)果至總線時(shí),也應(yīng)保證關(guān)閉數(shù)據(jù)輸入三態(tài)門。四、實(shí)驗(yàn)步驟1. 按圖 1-2 連接實(shí)驗(yàn)電路并檢查無誤后打開電源開關(guān)。 圖中將用戶需要 連接的信號(hào)線用小圓圈標(biāo)明(其它實(shí)驗(yàn)相同,不再說明)

16、。2. 用輸入開關(guān)向暫存器 DR1和 DR2 置數(shù)(1)使 SWITCH UNIT單 元中的開關(guān) SW-B=0(打開數(shù)據(jù)輸入三態(tài)門) 、 ALU-B=1(關(guān)閉 ALU 輸出三態(tài)門)。( 2)撥動(dòng)輸入開關(guān)形成二進(jìn)制數(shù) 01100101(或其它數(shù)值)。(數(shù)據(jù)顯示 燈亮為 0,滅為 1);置 LDDR1=、1 LDDR2=;0 按動(dòng)微動(dòng)開關(guān) KK2,則將二進(jìn)制 數(shù) 01100101 置入 DR1 中。( 3)撥動(dòng)輸入開關(guān)形成二進(jìn)制數(shù) 10100111(或其它數(shù)值);使 LDDR1=、0 LDDR2=;1 按動(dòng)微動(dòng)開關(guān) KK2,則將二進(jìn)制數(shù) 10100111 置入 DR2 中。圖 1-2 算術(shù)邏輯實(shí)驗(yàn)

17、連線圖3. 檢驗(yàn) DR1 和 DR2 中存的數(shù)是否正確。(1)關(guān)閉數(shù)據(jù)輸入三態(tài)門 (SW-B=1),打開 ALU 輸出三態(tài)門(ALU-B=0), 并使 LDDR1=、0 LDDR2=,0 關(guān)閉寄存器。(2)置 S3S2 S1S0M 為1 1 1 1 1 ,總線顯示燈則顯示 DR1 中的數(shù)。(3)置 S3S2S1S0M為 1 0 1 0 1 ,總線顯示燈則顯示 DR2中的數(shù)。4. 驗(yàn)證 74LS181 的算術(shù)運(yùn)算和邏輯運(yùn)算功能(采用正邏輯)表 1-1 給出的 74LS181 的功能表。表中“ A”和“ B”分別表示參與運(yùn)算 的兩個(gè)數(shù),“+”表示邏輯或,“加”表示算術(shù)求和。(1)SW-B=1、AL

18、U-B=0 保持不變。(2)可以通過改變 S3 S2 S1 S0 M CN 的組合來實(shí)現(xiàn)不同的功能,通過 總線顯示燈觀察運(yùn)算器的輸出, 填入表 1-2 中,和理論分析進(jìn)行比較、 驗(yàn)證。五、記錄實(shí)驗(yàn)結(jié)果,完成實(shí)驗(yàn)報(bào)告表 1-1 74LS181 功能表S3S2S1S0M=0(算術(shù)運(yùn)算)M=1 邏輯運(yùn)算CN=1無進(jìn)位CN=0有進(jìn)位0000F=F=A 加 1F=0001F= A BF=( A B )加 1F=A B0010F= A BF=( A B )加 1F=0011F=0 減 1F=0F=0100F=加 ABF=加 A B 加 1F=0101F=( A B)加 ABF=( A B)加 AB 加 1

19、F=0110F=減減 1F=減F=A B0111F= AB 減 1F= ABF=AB1000F=加F=加加 1F=A B1001F=加F=加加 1F=A B1010F=( A B )加F=( A B )加加 1F=1011F=減 1F=F=1100F=加F=加加 1F=11101F=( A B )加F=( A B )加加 1F=A B1110F=( A B )加F=( A B )加加 1F=A B1111F=減 1F=F=表 1-2 ALU 功能表DR1DR2S3S2S1S0M=0(算術(shù)運(yùn)算)M=1(邏輯運(yùn)算)CN=1無進(jìn)位CN=0有進(jìn)位65HA7H0000F=( 65 )F=( 66 )F=

20、( 9A )0001F=( E7 )F=( E8 )F=( 18 )0010F=( 7D )F=( 7E )F=( 82 )0011F=( )F=( )F=( )0100F=( )F=( )F=( )0101F=( )F=( )F=( )0110F=( )F=( )F=( )0111F=( )F=( )F=( )1000F=( )F=( )F=( )1001F=( )F=( )F=( )1010F=( )F=( )F=( )1011F=( )F=( )F=( )1100F=( )F=( )F=( )1101F=( )F=( )F=( )1110F=( )F=( )F=( )1111F=( )F

21、=( )F=( )實(shí)驗(yàn)二進(jìn)位控制實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?驗(yàn)證帶進(jìn)位控制的算術(shù)運(yùn)算功能發(fā)生器的功能。 2按指定數(shù)據(jù)完成幾種指定的算術(shù)運(yùn)算。二、實(shí)驗(yàn)設(shè)備TDN-CM教學(xué)實(shí)驗(yàn)系統(tǒng)一套。三、實(shí)驗(yàn)原理進(jìn)位控制運(yùn)算器的實(shí)驗(yàn)原理如圖 2-1 所示,在圖 1-1 基礎(chǔ)上增加進(jìn)位控 制部分,其中 181 的進(jìn)位進(jìn)入一個(gè) 74 鎖存器,其寫入是由 T4 和 AR信號(hào)控 制, T4是脈沖信號(hào),實(shí)驗(yàn)時(shí) 將 T4連至 STATE UNIT的微動(dòng)開關(guān) KK2上。AR 是電平控制信號(hào)(低電平有效) ,可用于實(shí)現(xiàn)帶進(jìn)位控制實(shí)驗(yàn),而 T4 脈沖是 將本次運(yùn)算的進(jìn)位結(jié)果鎖存到進(jìn)位鎖存器中。四、實(shí)驗(yàn)步驟1. 按圖 2-2 連接實(shí)驗(yàn)電路并

22、檢查無誤后打開電源開關(guān)。2. 用輸入開關(guān)向暫存器 DR1和 DR2 置數(shù)(方法同前 , SW-B=0, ALU-B=1) (1)將二進(jìn)制數(shù) 01010101 置入 DR1 中( LDDR1=、1 LDDR2=)0。 (2)將二進(jìn)制數(shù) 10101010 置入 DR2 中( LDDR1=、0 LDDR2=)1。3. 關(guān)閉數(shù)據(jù)輸入三態(tài)門( SW-B=1),打開 ALU 輸出三態(tài)門( ALU-B=0), 并使 LDDR1=、0 LDDR2=,0 關(guān)閉寄存器輸入控制門。4. 檢驗(yàn) DR1 和 DR2 中存的數(shù)是否正確。(方法同前)5. 對(duì)進(jìn)位標(biāo)志清零。實(shí)驗(yàn)系統(tǒng)上“ SWITCHU NIT”單元中的 CL

23、R開關(guān)為標(biāo) 志 CY、ZI 的清零開關(guān), 它為零狀態(tài)時(shí)是清零狀態(tài), 所以將此開關(guān)做 1 0 1 操作,即可使標(biāo)志位清零。注意:進(jìn)位標(biāo)志指示燈 CY 亮?xí)r表示進(jìn)位標(biāo)志為“” ,無進(jìn)位;標(biāo)志指 示燈 CY 滅時(shí)表示進(jìn)位為“” ,有進(jìn)位。6. 驗(yàn)證帶進(jìn)位運(yùn)算及進(jìn)位鎖存功能( AR0、SW-B=1、ALU-B=0)( 1)使 Cn1(低位無進(jìn)位),進(jìn)行帶進(jìn)位算術(shù)運(yùn)算。 例如:做加法運(yùn)算, S3S2S1S0M置為 1 0 0 1 0 ,按動(dòng)微動(dòng)開關(guān) KK2, 此時(shí),數(shù)據(jù)總線上顯示的數(shù)據(jù)為 DR1+DR2+/Cn的和,最高位進(jìn)位由進(jìn)位標(biāo)志 燈 CY顯示,若指示燈亮,則無進(jìn)位,反之則有進(jìn)位。(2)使 Cn0

24、(低位有進(jìn)位),進(jìn)行帶進(jìn)位算術(shù)運(yùn)算,例如:做加法運(yùn) 算,S3 S2 S1 S0 M 置為 1 0 0 1 0 ,按動(dòng)微動(dòng)開關(guān) KK2,此時(shí),數(shù)據(jù)總線 上顯示的數(shù)據(jù)為 DR1+DR2+/Cn的和,最高位進(jìn)位由進(jìn)位標(biāo)志燈 CY顯示。注: /Cn 表示對(duì) Cn取反。即有進(jìn)位末位加 1,無進(jìn)位末位加 0。圖 2-1 進(jìn)位控制實(shí)驗(yàn)原理圖圖 2-2 進(jìn)位控制實(shí)驗(yàn)連線圖五、記錄實(shí)驗(yàn)結(jié)果,完成實(shí)驗(yàn)報(bào)告實(shí)驗(yàn)三移位運(yùn)算實(shí)驗(yàn)一、實(shí)驗(yàn)?zāi)康?了解移位發(fā)生器 74LS299 的功能。2驗(yàn)證移位控制電路的組合功能。二、實(shí)驗(yàn)設(shè)備TDN-CM教學(xué)實(shí)驗(yàn)系統(tǒng)一套。三、實(shí)驗(yàn)原理圖 3-1 所示為移位控制電路。其中使用了一片 74LS

25、299 作為移位發(fā)生器, 其 8 位輸入輸出端可連接至內(nèi)部總線。 74LS299 移位器的片選控制信號(hào)為299-B,在低電平時(shí)有效。T4 為其控制脈沖信號(hào),由“ JT UNIT”單元中的 T4 接至“ STATE UNIT” 單元中的單脈沖發(fā)生器 KK2 上而產(chǎn)生, S0、S1、M作為移位控制信號(hào),此移 位控制邏輯功能如表 3-1 所示。四、實(shí)驗(yàn)步驟1. 按圖 3-2 連接實(shí)驗(yàn)電路并檢查無誤后打開電源開關(guān)。2. 向移位寄存器置數(shù)。( 1)撥動(dòng)輸入開關(guān)形成二進(jìn)制數(shù) 01101011(或其他數(shù)值)。(2)使 SWITCH UNIT單 元中的開關(guān) SW-B=0,打開數(shù)據(jù)輸入三態(tài)門。( 2)使 S0=

26、1、S1=1,并按動(dòng)微動(dòng)開關(guān) KK2,則將二進(jìn)制數(shù) 01101011 置 入了移位寄存器。( 4)使 SW-B=1,關(guān)閉數(shù)據(jù)輸入三態(tài)門。3. 移位運(yùn)算操作。參照表 3-1 中的內(nèi)容,先將 S1、S0 置為 0、0,檢查移位寄存器單元裝 入的數(shù)是否正確,然后通過改變 S0、 S1、M、299-B 的狀態(tài),并按動(dòng)微動(dòng)開 關(guān) KK2,觀察移位結(jié)果。五、記錄實(shí)驗(yàn)結(jié)果,完成實(shí)驗(yàn)報(bào)告完成表 3-2 中的內(nèi)容圖 3-1 移位控制電路原理圖表 3-1 移位控制功能真值表299BS1S0M功能000任意保持0100循環(huán)右移0101帶進(jìn)位循環(huán)右移0010循環(huán)左移0011帶進(jìn)位循環(huán)左移任意11任意裝數(shù)DATA UN

27、IT圖 3-2 移位控制電路連線圖表 3-2 移位控制功能表動(dòng)作循環(huán)右移CY帶進(jìn)位 循環(huán)右移CY循環(huán)左移CY帶進(jìn)位 循環(huán)左移CY原始011011010011011010011011010011011010按一次101101100001101101110110100110110100按兩次010110110100110110101101010101101001按三次101011010010011011011010110011010011按四次110101100101001101110101100110100110按五次011010110110100110101011010101001101按六次

28、101101010011010011010110110010011011按七次110110100101101001101101100100110110按八次011011010110110100011011010001101101按九次00110110100011011010實(shí)驗(yàn)四 靜態(tài)存儲(chǔ)器實(shí)驗(yàn)在程序執(zhí)行過程中,所要執(zhí)行的指令是從存儲(chǔ)器中獲取,運(yùn)算器所需要 的操作數(shù)是通過程序中的訪存指令從存儲(chǔ)器中得到, 運(yùn)算結(jié)果在程序執(zhí)行完 之前又必須全部寫到存儲(chǔ)器中,各種輸入輸出設(shè)備也直接與存儲(chǔ)器交換數(shù) 據(jù)。把程序和數(shù)據(jù)存儲(chǔ)在存儲(chǔ)器中,是馮諾依曼型計(jì)算機(jī)的基本特征,也 是計(jì)算機(jī)能夠自動(dòng)、連續(xù)快速工作的基礎(chǔ)。

29、一、實(shí)驗(yàn)?zāi)康恼莆侦o態(tài)存儲(chǔ)器 RAM的工作特性及數(shù)據(jù)的讀寫方法。二、實(shí)驗(yàn)設(shè)備TDNCM教學(xué)系統(tǒng)一套三、實(shí)驗(yàn)原理本實(shí)驗(yàn)中靜態(tài)存儲(chǔ)器采用 6116(2K*8位)芯片,其原理圖如圖 4-1 所示, 數(shù)據(jù)線接至數(shù)據(jù)總線,地址線由地址寄存器( 74LS273)給出。地址燈 AD0 AD7與地址線相連,顯示地址線內(nèi)容。數(shù)據(jù)開關(guān)經(jīng)一個(gè)三態(tài)門( 74LS245) 連至數(shù)據(jù)總線,分時(shí)給出地址與數(shù)據(jù)。因地址寄存器為 8 位,所以接入 6116的地址為 A7A0,而高三位 A8 A10接地,所以實(shí)際容量為 256字節(jié)。6116有三位控制線: CE(片選線)、 OE(讀線)、WE(寫線)。當(dāng)片選信號(hào) CE0 有效時(shí),

30、OE 0,WE1 為存儲(chǔ)器 寫操作 ,OE0,WE0 為存儲(chǔ)器讀操作 ,其讀寫時(shí)間與 T3 寬度一致。實(shí)驗(yàn)時(shí)將 T3 脈沖接至實(shí)驗(yàn)板上時(shí)序電路模塊的 TS3相應(yīng)插孔中,其它電 平控制信號(hào)由 SWITCHU NIT單元的二進(jìn)制開關(guān)模擬,其中 SWB 是低電平有 效, LDAR是高電平有效 。四、實(shí)驗(yàn)步驟1. 按照?qǐng)D 4-2 連接實(shí)驗(yàn)線路,連好檢查無誤后再接通電源。2. 在時(shí)序電路模塊中有兩個(gè)二進(jìn)制開關(guān)“ STOP”和“ STEP”。將 “STOP” 開關(guān)置為“ RUN”狀態(tài)、“ STEP”開關(guān)置為“ STEP”狀態(tài)時(shí),每按動(dòng)一次微動(dòng)幵關(guān)START則T3輸出一個(gè)單脈沖,其脈沖寬度與連續(xù)方式相同。圖

31、4-1存儲(chǔ)器實(shí)驗(yàn)原理圖圖 4-2 存儲(chǔ)器接線圖3. 寫存儲(chǔ)器 :用手動(dòng)開關(guān)控制的方法, 給存儲(chǔ)器的 00H、01H、02H、03H、 04H地址單元中分別寫入數(shù)據(jù) 11H、 12 H、13 H、14 H、15 H。由于數(shù)據(jù)和地址全由一個(gè)數(shù)據(jù)開關(guān)給出,因此要分時(shí)地給出。下面的寫 存儲(chǔ)器要分兩個(gè)步驟 :(1)寫地址 ,先關(guān)掉存儲(chǔ)器的片選( CE=1),打開地址鎖存器門控信號(hào) ( LDAR=)1,打開數(shù)據(jù)開關(guān)三態(tài)門( SW-B=0),由開關(guān)給出要寫入的存儲(chǔ)單元 的地址,按動(dòng) START產(chǎn)生 T3 脈沖將地址輸入到地址鎖存器;(2)寫數(shù)據(jù) ,關(guān)掉地址鎖存器門控信號(hào)( LDAR=0),打開存儲(chǔ)器片選,

32、使之處于寫狀態(tài) (CE=0,WE=)1,由開關(guān)給出此單元要寫入的數(shù)據(jù), 按動(dòng) START 產(chǎn)生 T3 脈沖將數(shù)據(jù)寫入到當(dāng)前的地址單元中。寫其他單元依次循環(huán)上述步驟。寫存儲(chǔ)器流程如圖 4-3 所示(以向 00 號(hào)單元寫入 11H 為例)00、01、02、03、04圖 4-3 存儲(chǔ)器寫操作流程圖4. 讀存儲(chǔ)器 :用手動(dòng)開關(guān)控制的方法,依次讀出第 號(hào)單元中的內(nèi)容,觀察上述各單元中的內(nèi)容是否與前面寫入的一致。同寫操 作類似,讀每個(gè)單元也需要兩步:(1)寫地址 ,先關(guān)掉存儲(chǔ)器的片選( CE=1),打開地址鎖存器門控信號(hào) ( LDAR=)1,打開數(shù)據(jù)開關(guān)三態(tài)門( SW-B=0),由開關(guān)給出要寫存儲(chǔ)單元的地

33、 址,按動(dòng) START產(chǎn)生 T3 脈沖將地址輸入到地址鎖存器;(2)讀數(shù)據(jù) ,關(guān)掉地址鎖存器門控信號(hào)( LDAR=0),關(guān)掉數(shù)據(jù)開關(guān)三態(tài) 門( SW-B=1),片選存儲(chǔ)器,使它處于讀狀態(tài)( CE=0,WE=)0,此時(shí)數(shù)據(jù)總線顯示的數(shù)據(jù)即為從存儲(chǔ)器當(dāng)前地址中讀出的數(shù)據(jù)內(nèi)容 讀其他單元依次循環(huán)上述步驟。讀存儲(chǔ)器操作流程如圖 4-4 所示(以從 00 號(hào)單元讀出 11H 數(shù)據(jù)為例)圖 4-4 存儲(chǔ)器讀操作流程圖五、記錄實(shí)驗(yàn)結(jié)果,完成實(shí)驗(yàn)報(bào)告實(shí)驗(yàn)五 微程序控制器實(shí)驗(yàn)控制器的基本功能就是把機(jī)器指令轉(zhuǎn)換為按照一定時(shí)序控制機(jī)器各部 件的工作信號(hào),合各部件產(chǎn)生一系列動(dòng)作,完成指令所規(guī)定的任務(wù)??刂破?的實(shí)現(xiàn)有

34、兩大類:硬布線控制和微程序控制。、實(shí)驗(yàn)?zāi)康?. 掌握微程序控制器的組成原理。2. 掌握微程序的編制、寫入,觀察微程序的運(yùn)行。、實(shí)驗(yàn)設(shè)備TDNCM教學(xué)系統(tǒng)一套三、實(shí)驗(yàn)原理微程序控制器的基本任務(wù)是完成當(dāng)前指令的翻譯和執(zhí)行, 即將當(dāng)前指令 的功能轉(zhuǎn)換成可以控制硬件邏輯部件工作的微命令序列, 完成數(shù)據(jù)傳送和各 種處理操作。實(shí)驗(yàn)所用的時(shí)序信號(hào)為 TS1 TS4,由時(shí)序電路產(chǎn)生??刂拼鎯?chǔ)器采用 3 片 2816 的 E2PROM,具有掉電保護(hù)功能, 微命令寄存 器 18位,用兩片 8D觸發(fā)器( 273)和一片 4D(175)觸發(fā)器組成。 微地址寄 存器 6位,用 3片正沿觸發(fā)的雙 D觸發(fā)器( 74)組成,

35、它們帶有清“ 0”端 和預(yù)置端。在不判別測(cè)試的情況下, T2 時(shí)刻打入地址寄存器的內(nèi)容即為下一 條微指令地址。當(dāng) T4 時(shí)刻進(jìn)行測(cè)試判別時(shí),轉(zhuǎn)移邏輯滿足條件后輸出的負(fù) 脈沖通過強(qiáng)置端將某一觸發(fā)器置為“ 1”狀態(tài),完成地址修改。電路中有一個(gè)編程開關(guān), 有 3 種狀態(tài): PRO(M編程)、READ(檢驗(yàn))、RUN (運(yùn)行)。當(dāng)開關(guān)處于 PROM狀態(tài)時(shí),可根據(jù)微地址和微指令格式 將微指令二 進(jìn)制代碼寫入到控制存儲(chǔ)器 2816 中。當(dāng)開關(guān)處于 READ時(shí),可以對(duì)寫入的控 制代碼進(jìn)行驗(yàn)證 ,判斷是不是有錯(cuò)。當(dāng)開關(guān)處于 RUN時(shí),只要給出微程序的 入口地址,則可 根據(jù)微程序流程圖自動(dòng)執(zhí)行微程序 。微指令格

36、式如圖 5-1 所示,有 24 位字長,其中 UA0UA5為 6 位后繼微 地址,A、B、C 為三個(gè)譯碼字段,分別由三個(gè)控制位譯碼出多位。C字段中的 P(1) P( 4)是四個(gè)測(cè)試字位。其功能是根據(jù)機(jī)器指令及 相應(yīng)微代碼進(jìn)行譯碼,使微程序轉(zhuǎn)入相應(yīng)的微地址入口,從而實(shí)現(xiàn)微程序的 順序、分支、循環(huán)運(yùn)行,其原理如圖 5-2 所示,圖中 I7 I2 為指令寄存器 的第 72 位輸出, SE5SE1為微程序控制器單元微地址鎖存器的強(qiáng)置端輸 出。 AR為算術(shù)運(yùn)算是否影響進(jìn)位及判零標(biāo)志控制位,其為零有效。B 字段中的 RS-B、RD-B、RI-B 分別為源寄存器選通信號(hào)、 目的寄存器選通信號(hào)及變址寄存器選通

37、信號(hào), 其功能是根據(jù)機(jī)器指令來進(jìn)行三個(gè)工作寄存 器 R0、R1及 R2的選通譯碼, 其原理如圖 5-3 ,圖中 I0 I3 為指令寄存器的 第 03 位, LDRi為輸入工作寄存器信號(hào)的譯碼器使能控制位。151413選擇000001LDRi010LDDR1011LDDR2100LDIR101LOAD110LDAR121110選擇000001RS-B010RD-B011RI-B100299-B101ALU-B110SW-B111PC-B987選擇000001P(1)010P(2)011P(3)100P(4)101AR242322212019181716151413121110987654321S

38、3S2S1S0MCnWECELDPCABCuA5uA4uA3uA2uA1uA0A 字段B字段C 字段圖 5-1 微指令格式圖 5-4 為幾條機(jī)器指令對(duì)應(yīng)的微操作流程圖, 將全部微程序按微指令格式變成二進(jìn)制代碼,可得到表 5-1 的微指令二進(jìn)制代碼表 (微地址為八進(jìn)制)I7 I2) ) ) )123( ( ( ( PPP圖 5-2 指令譯碼圖 5-3 寄存器譯碼四、實(shí)驗(yàn)步驟1.按圖5-5 所示連接實(shí)驗(yàn)電路,檢查無誤后打開系統(tǒng)電源。2.將STATE UNIT中的STEP置為 STEP、STOP置為 RUN。3. 編程(編程開關(guān)置為 PROM)(1)二進(jìn)制開關(guān)設(shè) 置微地址 MA5MA0;(2)在 M

39、K24MK1開關(guān)上置微代碼 , 24 位開關(guān)對(duì)應(yīng) 24 位顯示燈, 開關(guān)量為“ 0”時(shí)燈亮,開關(guān)量為“ 1”時(shí)燈滅;(3)啟動(dòng)時(shí)序電路按 START,即將微代碼寫入到 E2PROM28 16 的相應(yīng)地 址的單元中;(4)重復(fù)(1)(3)步,將表 5-1 的微代碼逐行寫入控制存儲(chǔ)器中。4. 檢驗(yàn)(編程開關(guān)置為 READ)(1)二進(jìn)制開關(guān)設(shè) 置微地址 MA5MA0;(2)按動(dòng) START開關(guān), 觀察顯示燈 MD24MD1的狀態(tài),與寫入的微代 碼比較,檢查是否有錯(cuò),如有錯(cuò),則重新執(zhí)行第 3 步。5. 單步運(yùn)行(編程開關(guān)置為 RUN)(1)設(shè)置 CLR開關(guān)從 101,使 微地址清 0;(2)按 STA

40、RT,每按一次執(zhí)行一條微指令。注意:可將“ MICRO-CONTROLL”E單R元的 SE6SE1接至“ SWITCHUNI”T 中的 S3Cn上,通過強(qiáng)置端 SE1SE6人為 設(shè)置分支首地址 。首先將 SE1SE6全部置“ 1”;然后根據(jù)需要,將某個(gè)或幾個(gè)置“ 0”, 設(shè)置 CLR開關(guān) 101,使相應(yīng)的微地址位被強(qiáng)置為 “1”,設(shè)置分支首地址; 最后將“ SWITCHUNI”T中的 S3Cn接至“MICRO-CONTROLL”E單R元的 UA5 UA上, 按 START,執(zhí)行該分支??刂婆_(tái) KT運(yùn)行程序 RP圖 5-4 微程序流程圖圖 5-5 實(shí)驗(yàn)電路接線圖表 5-1 微指令二進(jìn)制代碼表微地

41、址S3 S2 S1 S0 M Cn WE CE LDPCABCuA5 uA00 00 0 0 0 0 0 0 1 11 0 11 1 01 0 00 1 0 0 0 00 10 0 0 0 0 0 0 1 11 1 01 1 10 0 00 0 0 0 1 00 20 0 0 0 0 0 0 0 01 0 000 00 0 10 0 1 0 0 00 30 0 0 0 0 0 0 0 01 1 000 00 0 00 0 0 1 0 00 40 0 0 0 0 0 0 0 00 1 100 00 0 00 0 0 1 0 10 50 0 0 0 0 0 0 1 00 1 00010 0 00

42、0 0 11 00 61 0 0 1 0 1 0 1 00 0 11 0 10 0 00 0 0 0 0 10 70 0 0 0 0 0 0 0 01 1 000 00 0 00 0 11 0 11 00 0 0 0 0 0 0 1 00 0 11 1 00 0 00 0 0 0 0 11 10 0 0 0 0 0 0 1 11 1 01 1 10 0 00 0 0 0 1 11 20 0 0 0 0 0 0 1 11 1 01 1 10 0 00 0 0 1111 30 0 0 0 0 0 0 1 11 1 01 1 10 0 00 0 111 01 40 0 0 0 0 0 0 1 11

43、1 01 1 10 0 00 1 0 1 0 11 50 0 0 0 0 0 1 0 000 00010 0 00 0 0 0 0 11 60 0 0 0 0 0 0 0 011 000 00 0 00 0 11111 70 0 0 0 0 0 0 0 00 0 000 00 0 00 0 0 0 0 12 00 0 0 0 0 0 0 1 11 1 01 1 10 0 00 1 0 0 1 02 10 0 0 0 0 0 0 1 11 1 01 1 10 0 00 1 0 1 0 02 20 0 0 0 0 0 0 0 00 0 00000 0 00 1 0 0 0 02 30 0 0 0

44、0 0 0 1 00 0 000 00 0 00 0 0 0 0 12 40 0 0 0 0 0 1 0 00 0 01 1 00 0 00 1 0 0 0 12 50 0 0 0 0 0 0 0 11 0 100 00 0 00 0 0 0 0 1五、記錄實(shí)驗(yàn)結(jié)果,完成實(shí)驗(yàn)報(bào)告實(shí)驗(yàn)六 基本模型機(jī)設(shè)計(jì)與實(shí)現(xiàn)一、實(shí)驗(yàn)?zāi)康?. 在掌握部件單元電路實(shí)驗(yàn)的基礎(chǔ)上, 進(jìn)一步將其組成系統(tǒng)構(gòu)造一臺(tái)基 本模型計(jì)算機(jī)。2. 為其定義五條機(jī)器指令,并編寫相應(yīng)的微程序,具體上機(jī)調(diào)試整機(jī)。二、實(shí)驗(yàn)設(shè)備TDNCM教學(xué)實(shí)驗(yàn)系統(tǒng)一套三、實(shí)驗(yàn)原理部件實(shí)驗(yàn)過程中,各部件單元的控制信號(hào)是人為模擬產(chǎn)生的,而本次實(shí) 驗(yàn)將能在微程序控

45、制下自動(dòng)產(chǎn)生各部件單元控制信號(hào),實(shí)現(xiàn)特定指令的功 能。這里,計(jì)算機(jī)數(shù)據(jù)通路的控制將由微程序控制來完成, CPU從內(nèi)存中取 出一條機(jī)器指令到指令執(zhí)行結(jié)束的一個(gè)指令周期全部由微指令組成的序列 來完成,即一條機(jī)器指令對(duì)應(yīng)一個(gè)微程序。1. 五條機(jī)器指令I(lǐng)N(輸入)、ADD(二進(jìn)制加法)、STA(存數(shù))、OUT(輸出)、JMP(無條 件轉(zhuǎn)移),其指令格式(前 4 位為操作碼)如表 6-1 所示:表 6-1 五條機(jī)器指令助記符機(jī)器指令碼說明IN0000 0000“DATA UNIT”中的開關(guān)數(shù)據(jù) R0ADD addr0001 0000 XXXXXXXXRO+addr R0, 雙字長指令,XXXXXXX 為

46、 addr 對(duì)應(yīng)的二進(jìn)制地址碼STA addr0010 0000 XXXXXXXXROaddrOUT addr0011 0000 XXXXXXXXaddr BUSJMP addr0100 0000 XXXXXXXXaddr PC本實(shí)驗(yàn)設(shè)計(jì)的機(jī)器指令程序如表 6-2 所示:表 6-2 本實(shí)驗(yàn)中的五條機(jī)器指令地址內(nèi)容助記符說明地址 內(nèi)容0100 00000000 0000IN“DATAU NIT”R040 00H0100 00010001 0000ADD 4AHRO+addr R041 10H0100 00100100 101042 4AH0100 00110010 0000STA 4BH ROa

47、ddr43 20H0100 01000100 101144 4BH0100 01010011 0000OUT 4BHaddr BUS45 30H0100 01100100 101146 4BH0100 01110100 0000JMP 40H addr PC47 40H0100 10000100 000048 40H0100 10014940H0100 10100000 0001自定4A 01H0100 1011求各結(jié)果4B01H2. 三個(gè)控制臺(tái)操作微程序?yàn)榱讼?RAM中裝入程序和數(shù)據(jù),檢查寫是否正確,并能啟動(dòng)程序執(zhí)行,還必須設(shè)計(jì)三個(gè)控制臺(tái)操作微程序。(1)存儲(chǔ)器寫操作( KWE)撥動(dòng)總清開關(guān)

48、 CLR后,控制臺(tái)開關(guān) SWB、SWA為 0 1 時(shí),按 START 微動(dòng) 開關(guān),可對(duì) RAM連續(xù)手動(dòng)寫入程序和數(shù)據(jù)。(2)存儲(chǔ)器讀操作( KRD)撥動(dòng)總清開關(guān) CLR后,控制臺(tái)開關(guān) SWB、SWA為 0 0 時(shí),按 START微動(dòng) 開關(guān),可對(duì) RAM連續(xù)手動(dòng)讀出程序和數(shù)據(jù)。(3)存儲(chǔ)器寫操作( RP)撥動(dòng)總清開關(guān) CLR后,控制臺(tái)開關(guān) SWB、SWA為 1 1 時(shí),按 START微動(dòng) 開關(guān),即可轉(zhuǎn)入到 第 01 號(hào)取指微指令,啟動(dòng)并程序運(yùn)行。上述三條控制臺(tái)指令用兩個(gè)開關(guān) SWB和 SWA的狀態(tài)來設(shè)置, 其定義如表 6-3 所示:表 6-3 控制臺(tái)指令SWBSWA控制臺(tái)指令00讀內(nèi)存( KRD

49、)01寫內(nèi)存( KWR)11執(zhí)行程序( RP)3微程序流程及微指令代碼系統(tǒng)涉及到的微程序流程見圖 5-4, 當(dāng)擬定取指微指令時(shí) , 該微指令的判 別測(cè)試字段為 P(1) 測(cè)試?由于取指微指令是所有微程序都使用的公用微指令 因此 ,P(1) 的測(cè)試結(jié)果出現(xiàn)多分支 ?本機(jī)用指令寄存器的前 4 位(IR7-IR4) 作 為測(cè)試條件,出現(xiàn) 5 路分支,占用 5個(gè)固定微地址單元??刂婆_(tái)操作為 P(4) 測(cè)試,它以控制臺(tái)開關(guān) SW?BSWA作為測(cè)試條件 , 出現(xiàn) 了 3 路分支 , 占用 3 個(gè)固定微地址單元 ? 當(dāng)分支微地址單元固定后 , 剩下的其 它地方就可以一條微指令占用控存一個(gè)微地址單元隨意填寫?

50、注意: 微程序流程圖上的單元地址為八進(jìn)制 ?當(dāng)全部微程序設(shè)計(jì)完畢后 ,應(yīng)將每條微指令代碼化 ,圖5-4 的微程序流程 圖按微指令格式化轉(zhuǎn)化的十六進(jìn)制微代碼表如表 6-4 所示 ?表 6-4 微指令十六進(jìn)制代碼表微地址微指令微地址微指令0 0 Q0 1 D D 1 0 H1 3 Q0 1 E E 0 E H0 1 Q0 1 E E 0 2 H1 4 Q0 1 E E 1 5 H0 2 Q0 0 4 0 4 8 H1 5 Q0 2 0 2 0 1 H0 3 Q0 0 6 0 0 4 H1 6 Q0 0 6 0 0 F H0 4 Q0 0 3 0 0 5 H1 7 Q0 0 0 0 0 1 H0 5

51、 Q0 1 2 2 0 6 H2 0 Q0 1 E E 1 2 H0 6 Q9 5 1 A 0 1 H2 1 Q0 1 E E 1 4 H0 7 Q0 0 6 0 0 D H2 2 Q0 0 0 0 1 0 H1 0 Q0 1 1 C 0 1 H2 3 Q0 1 0 0 0 1 H1 1 Q0 1 E E 0 3 H2 4 Q0 2 0 C 1 1 H1 2 Q0 1 E E 0 7 H2 5 Q0 0 D 0 0 1 H四、實(shí)驗(yàn)步驟1.按圖6-1 連接實(shí)驗(yàn)線路,檢查無誤后打開系統(tǒng)電源。2. 使 STEP 為“ STEP”狀態(tài), STOP 為“ RUN”狀態(tài)。3. 將微指令代碼寫入控制存儲(chǔ)器(編程開關(guān)處于“ PROM”)并進(jìn)行校驗(yàn) (編程開關(guān)處于“ READ”)將機(jī)器指令對(duì)應(yīng)的微代碼正確地寫入 E2PROM控 制存儲(chǔ)器中,實(shí)際上在

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論