電梯控制器設(shè)計(jì)_第1頁
電梯控制器設(shè)計(jì)_第2頁
電梯控制器設(shè)計(jì)_第3頁
電梯控制器設(shè)計(jì)_第4頁
電梯控制器設(shè)計(jì)_第5頁
已閱讀5頁,還剩19頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、電梯控制器設(shè)計(jì)EDA技術(shù)課程設(shè)計(jì)報(bào)告設(shè)計(jì)題目: 專業(yè)班級: 學(xué)生姓名: 學(xué) 號: 指導(dǎo)教師: 課程設(shè)計(jì)成績: 完成日期:2015年12月20日目錄 引言.11. 提出問題.22.設(shè)計(jì)任務(wù)及設(shè)計(jì)要求.2 2.1 設(shè)計(jì)任務(wù).2 2.2 設(shè)計(jì)要求.33 設(shè)計(jì)總體思路.4 3.1 電梯運(yùn)作流程.4 3.2 電梯運(yùn)行控制流程圖 .5 3.3 電梯控制器 .6 3.4 數(shù)碼管顯示控件 .74 各單元電路設(shè)計(jì) .7 4.1 DELED 模塊.7 4.2 電梯控制模塊 .85 總體電路設(shè)計(jì).9 5.1 DELED 模塊功能 .9 5.2 總體電路. 10 5.3 試驗(yàn)箱仿真. 116 設(shè)計(jì)調(diào)試體會與總結(jié). 1

2、3參考文獻(xiàn) . 14附錄 . 15引言隨著社會的發(fā)展,電梯的使用越來越普遍,已經(jīng)從原來只在商業(yè)大廈、賓館使用過度到在辦公樓、居民樓等場所使用,并且對電梯功能的要求也不斷提高,相應(yīng)地其控制方式也在不停地發(fā)生變化。對于電梯的控制,傳統(tǒng)的方法是使用繼電器接觸器控制系統(tǒng)進(jìn)行控制,隨著技術(shù)的不斷發(fā)展,微型計(jì)算機(jī)在電梯控制上的應(yīng)用日益廣泛,現(xiàn)在已進(jìn)入全微化控制的時(shí)代。VHDL主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)、行為、功能和接口。除了含有許多具有硬件特征的語句外,VHDL的語言形式、描述風(fēng)格以及語法是十分類似于一般的計(jì)算機(jī)高級語言。VHDL的程序結(jié)構(gòu)特點(diǎn)是將一項(xiàng)工程設(shè)計(jì),或稱設(shè)計(jì)實(shí)體(可以是一個(gè)元件,一個(gè)電路模塊或

3、一個(gè)系統(tǒng))分成外部(或稱可視部分,及端口)和內(nèi)部(或稱不可視部分),既涉及實(shí)體的內(nèi)部功能和算法完成部分。在對一個(gè)設(shè)計(jì)實(shí)體定義了外部界面后,一旦其內(nèi)部開發(fā)完成后,其他的設(shè)計(jì)就可以直接調(diào)用這個(gè)實(shí)體。這種將設(shè)計(jì)實(shí)體分成內(nèi)外部分的概念是VHDL系統(tǒng)設(shè)計(jì)的基本點(diǎn)。VHDL具有功能強(qiáng)大的語言結(jié)構(gòu),可以用簡潔明確的源代碼來描述復(fù)雜的邏輯控制。它具有多層次的設(shè)計(jì)描述功能,層層細(xì)化,最后可直接生成電路級描述。VHDL支持同步電路、異步電路和隨機(jī)電路的設(shè)計(jì),這是其他硬件描述語言所不能比擬的。VHDL還支持各種設(shè)計(jì)方法,既支持自底向上的設(shè)計(jì),又支持自頂向下的設(shè)計(jì);既支持模塊化設(shè)計(jì),又支持層次化設(shè)計(jì)。電梯的微機(jī)化控制

4、主要有以下幾種形式:1PLC控制2單片機(jī)控制3單板機(jī)控制4單微機(jī)控制5多微機(jī)控制6人工智能控制。隨著EDA技術(shù)的快速發(fā)展,VHDL已廣泛應(yīng)用于電子設(shè)計(jì)與控制的各個(gè)方面本文采用VHDL語言來設(shè)計(jì)實(shí)用六層電梯控制器,其代碼具有良好的可讀性和易理解性,源程序經(jīng)A1tera公司的 Quartus II軟件仿真,目標(biāo)器件選用CPLD器件。通過對六層電梯控制器的設(shè)計(jì),可以發(fā)現(xiàn)本設(shè)計(jì)有一定的擴(kuò)展性,而且可以作為更多層電梯控制器實(shí)現(xiàn)的基礎(chǔ)。221 提出問題電梯作為垂直方向的交通工具,在高層建筑和公共場所已成為不可或缺的設(shè)備。中國是全球最大的電梯市場,也具有最強(qiáng)的電梯生產(chǎn)能力,但由于缺乏自主知識產(chǎn)權(quán)和核心技術(shù),

5、自主品牌占市場的份額很少。隨著社會需求的變化,電梯朝著節(jié)能、環(huán)保及智能化方向發(fā)展。EDA技術(shù)打破了軟件和硬件間的壁壘,是計(jì)算機(jī)的軟件技術(shù)與硬件實(shí)現(xiàn)、設(shè)計(jì)效率與產(chǎn)品能合二為一,它代表了電子技術(shù)和應(yīng)用技術(shù)的發(fā)展方向。VHDL主要用于描述數(shù)字系統(tǒng)的接口,結(jié)構(gòu)和功能,它的語法簡單易懂,移植性好。本設(shè)計(jì)采用VHDL,源程序Altera公司的Quartus II軟件仿真。運(yùn)用有限狀態(tài)機(jī)的設(shè)計(jì)方法,設(shè)計(jì)了兩個(gè)進(jìn)程相互配合,狀態(tài)機(jī)進(jìn)程作為主要進(jìn)程,信號燈控制進(jìn)程作為輔助進(jìn)程。在主進(jìn)程中定義了9個(gè)狀態(tài),分別是“up”、“down”、“stopbutton”、“position”、“doorlight”、“uds

6、ig”、“stoplight”、“fuplight”、“fdnlight”在電梯時(shí)鐘的觸發(fā)下,通過當(dāng)前狀態(tài)和信號燈信號判定下一狀態(tài)。信號燈控制進(jìn)程中,信號燈存儲按鍵請求情況,它的熄滅是由狀態(tài)機(jī)進(jìn)程中傳出的信號來控制。2 設(shè)計(jì)任務(wù)及設(shè)計(jì)要求2.1 設(shè)計(jì)任務(wù)六層電梯控制器將實(shí)現(xiàn)的功能:1.每層電梯入口處設(shè)有上下請求開關(guān),電梯內(nèi)設(shè)有顧客到達(dá)層次的停站請求開關(guān)。2.設(shè)有電梯入口處位置指示裝置及電梯運(yùn)行模式(上升或下降)指示裝置。3.電梯每秒升(降)一層樓。4.電梯到達(dá)有停站請求的樓層,經(jīng)過1秒電梯門打開,開門指示燈亮,開門4秒后,電梯門關(guān)閉(開門指示燈滅),電梯繼續(xù)進(jìn)行,直至執(zhí)行完最后一個(gè)請求信號后停

7、留在當(dāng)前層。5.能記憶電梯內(nèi)外所有請求,并按照電梯運(yùn)行規(guī)則按順序響應(yīng),每個(gè)請求信號保留至執(zhí)行后消除。6.電梯運(yùn)行規(guī)則:當(dāng)電梯處于上升模式時(shí),只響應(yīng)比電梯所在位置高的上樓請求信號,由下而上逐個(gè)執(zhí)行,直到最后一個(gè)上樓請求執(zhí)行完畢;如果高層有下樓請求,則直接升到由下樓請求的最高層,然后進(jìn)入下降模式。當(dāng)電梯處于下降模式時(shí)則與上升模式相反。7.電梯初始狀態(tài)為一層開門狀態(tài)。2.2 設(shè)計(jì)要求控制器的功能模塊如圖2.1所示,包括主控制器、樓層選擇器、狀態(tài)顯示器、譯碼器和樓層顯示器。乘客在電梯中選擇所要到達(dá)的樓層,通過主控制器的處理,電梯開始運(yùn)行,狀態(tài)顯示器顯示電梯的運(yùn)行狀態(tài),電梯所在樓層數(shù)通過譯碼器譯碼從而在

8、樓層顯示器中顯示。由于其他模塊相對簡單很多,所以主控制器是核心部分。圖2.1 控制器模塊示意圖3 設(shè)計(jì)總體思路3.1 電梯運(yùn)作流程圖3.1 上升流程圖圖3.2 下降流程圖3.2 電梯運(yùn)行控制流程圖圖3.3 電梯運(yùn)行流程圖3.3 電梯控制器電梯控制器運(yùn)用狀態(tài)機(jī)的設(shè)計(jì)方法,思路比較清晰??梢詫㈦娞莸却拿棵腌娨约伴_門、關(guān)門都看成一個(gè)獨(dú)立的狀態(tài)。由于電梯又是每秒上升或下降一層,所以就可以通過一個(gè)統(tǒng)一的1秒為周期的時(shí)鐘來觸發(fā)狀態(tài)機(jī)。根據(jù)電梯的實(shí)際工作情況,可以把狀態(tài)機(jī)設(shè)置7個(gè)狀態(tài),分別是“電梯停留在第1層”“開門”“關(guān)門” “開門等待4秒”“上升”“下降”和“停止?fàn)顟B(tài)”、。各個(gè)狀態(tài)之間的轉(zhuǎn)換條件可由上

9、面的設(shè)計(jì)要求所決定。各狀態(tài)機(jī)之間轉(zhuǎn)換圖如圖3.4。圖3.4 各狀態(tài)機(jī)轉(zhuǎn)換關(guān)系電梯控制器為其中的核心部件,控制其電梯的運(yùn)行情況,而其控制則由按鈕來決定,通過按鈕指示燈判斷電梯的工作狀態(tài)及顯示其運(yùn)行情況。實(shí)驗(yàn)板上輸入過大的脈沖序列,通過分頻器將高頻轉(zhuǎn)換為低頻,即buttonclk且通過此時(shí)鐘信號控制電梯信號燈。當(dāng)按鈕為高電平時(shí),電梯開始工作,并通過按鈕信號燈決定電梯的運(yùn)行。電梯所處位置顯示通過譯碼器,再通過數(shù)據(jù)選擇器顯示到數(shù)碼管上udsig和doorlight顯示電梯門和電梯的狀態(tài)。stoplight6.1,fuplight6.1fdnlight6.1顯示按鈕指示。Reset按鈕使電梯恢復(fù)到初始位

10、置。樓層position變化相應(yīng)的數(shù)碼管也跟著變。首先考慮輸入端口,一個(gè)異步復(fù)位端口reset,用于在系統(tǒng)不正常時(shí)回到初始狀態(tài);在電梯外部,必須有升降請求端口,一層是最低層,不需要有下降請求六層是最高層,不需要有上升請求,二三四五層則上升、下降請求端口都有;在電梯的內(nèi)部,應(yīng)該設(shè)有各層停留的請求端口:一個(gè)電梯時(shí)鐘輸入端口,該輸入時(shí)鐘以1秒為周期,用于驅(qū)動電梯的升降及開門關(guān)門等動作;另有一個(gè)按鍵時(shí)鐘輸入端口,時(shí)鐘頻 率比電梯時(shí)鐘高。其次是輸出端口,有升降請求信號以后,就得有一個(gè)輸出端口來指示請求是否被響應(yīng),有請求信號以后,該輸出端口輸出邏輯l。被響應(yīng)以后則恢復(fù)邏輯0;同樣,在電梯內(nèi)部也應(yīng)該有這樣的

11、輸出端口來顯示各層停留是否被響應(yīng);在電梯外部,需要一個(gè)端口來指示電梯現(xiàn)在所處的位置;電梯開門關(guān)門的狀態(tài)也能用一個(gè)輸出端口來指示;為了觀察電梯的運(yùn)行是否正確,可以設(shè)置一個(gè)輸出端口來指示電梯的升降狀態(tài)。3.4 數(shù)碼管顯示控件樓層position輸出為一個(gè)3位2進(jìn)制數(shù),但是本實(shí)驗(yàn)需要用數(shù)碼管顯示,那么就需要一個(gè)deled模塊,該模塊的作用是將輸入轉(zhuǎn)換為8位2進(jìn)制,從而控制LED燈的顯示。4 各單元電路設(shè)計(jì)4.1 Deled模塊圖4.1 Deled模塊輸入輸出關(guān)系:表一 Deled模塊輸入輸出關(guān)系4.2 電梯控制模塊圖4.2 電梯控制模塊電梯主控制模塊端口說明:表二 電梯主控制模塊端口說明5 總體電路

12、設(shè)計(jì)5.1 Deled模塊功能圖5.1 Deled模塊圖圖5.2 Deled模塊波形Deled模塊的主要功能為用數(shù)碼管顯示樓層通過輸入信號prosition2.0輸出不同的ABCDEFGH從而起到顯示所在樓層的作用。波形如圖5.2所示。5.2 總體電路圖5.4 電路仿真波形5.3 試驗(yàn)箱仿真圖5.5 鎖定管腳實(shí)驗(yàn)現(xiàn)象如圖5.6電梯初始在1樓,左邊5個(gè)按鈕表示內(nèi)部電梯層數(shù)15樓,右邊5個(gè)按鈕表示外部電梯15層。數(shù)碼管下方兩個(gè)燈分別表示停止和開門顯示。現(xiàn)在舉例有人在4樓想坐電梯去2樓。如圖,在4層按下按鈕,電梯運(yùn)行到4樓,停止燈亮后開門等亮。并穩(wěn)定顯示停在4樓,接著在電梯內(nèi)部按下2樓,電梯運(yùn)行至2

13、樓,停止燈亮后開門等亮。并穩(wěn)定顯示停止在2樓。圖5.6 實(shí)驗(yàn)箱現(xiàn)象6 設(shè)計(jì)調(diào)試體會與總結(jié)電梯控制器系統(tǒng)設(shè)計(jì)已經(jīng)全部完成,基本實(shí)現(xiàn)了預(yù)期效果,實(shí)現(xiàn)了電梯按預(yù)定運(yùn)行規(guī)則上升、下降、載客等功能,在本設(shè)計(jì)中,因?yàn)榭紤]了擴(kuò)展性,所以在信號定義的時(shí)候就使用了二進(jìn)制的向量,而不是整數(shù)。在設(shè)計(jì)方法上也做了特殊的設(shè)計(jì),所以使得擴(kuò)展性較好。如果要實(shí)現(xiàn)n層電梯的控制,首先在端口的地方就要加入所有的按鍵,而指示燈只要把向量中的6改成n就可以了。在本設(shè)計(jì)過程中還需要改進(jìn)的地方有,電梯運(yùn)行規(guī)則的優(yōu)化(如設(shè)計(jì)閑時(shí)忙時(shí)控制規(guī)則)、電梯運(yùn)行速度的控制、設(shè)計(jì)更多的報(bào)警功能等。電梯控制系統(tǒng)的設(shè)計(jì)中體現(xiàn)了VHDL覆蓋面是如此的廣,描

14、述能力強(qiáng),是一個(gè)多層次的硬件描述語言及運(yùn)行速度快,使用方便,便于修改,設(shè)計(jì)簡單等特點(diǎn)。本設(shè)計(jì)在實(shí)用方面和參考方面具有一定的價(jià)值。但是由于設(shè)計(jì)時(shí),沒有考慮到試驗(yàn)箱器材的限制,導(dǎo)致按鍵與LED燈數(shù)量不夠。所以剛開始仿真時(shí)將stop6button空閑出來沒有進(jìn)行任何操作,造成該輸入端電平不穩(wěn)所以在進(jìn)行仿真的出現(xiàn)樓層不準(zhǔn)的現(xiàn)象,在后期實(shí)驗(yàn)我們放棄了電梯外部有2個(gè)按鍵的思想,而改為只用一個(gè)按鍵,將其余按鍵再電路圖中做接地處理,實(shí)驗(yàn)現(xiàn)象得到改進(jìn)。參考文獻(xiàn)1 黃正巾,徐堅(jiān)等. CPLD 系統(tǒng)設(shè)計(jì)與應(yīng)用M.北京:電子工業(yè)出版社,2002,110-123.2 蔣璇,臧春花.數(shù)字系統(tǒng)設(shè)計(jì)與 PLD 應(yīng)用技術(shù)M.北

15、京:電子工業(yè)出版社,2001,23-34.3 孟憲元.可編程 ASIC 集成數(shù)字系統(tǒng)M.北京:電子工業(yè)出版社,2003,231-243.4 潘松,王國棟. VHDL 實(shí)用教程(修訂版)M.成都:成都電子科技大學(xué)出版社,2002,45-87.5 宋萬杰,羅豐,吳順君.CPLD 技術(shù)及其應(yīng)用M.西安:西安電子科技大學(xué)出版社,2005,25-56. 附錄Deled 模塊library ieee;use ieee.std_logic_1164.all;entity deled is port( s : in std_logic_vector(2 downto 0); a,b,c,d,e,f,g,h:o

16、ut std_logic);END DELED;architecture behav of deled is signal data : std_logic_vector(2 downto 0); signal dout : std_logic_vector(7 downto 0);begin data dout dout dout dout dout dout dout dout dout = 00000000; end case; end process; h=dout(7);g=dout(6);f=dout(5);e=dout(4); d=dout(3);c=dout(2) ;b=dou

17、t(1);a=dout(0);end behav;電梯模塊library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity eightlift is port(buttonclk:in std_logic;-按鈕時(shí)鐘信號 liftclk: in std_logic;-電梯時(shí)鐘信號 reset:in std_logic;-復(fù)位鍵 f1upbutton:in std_logic;-一樓上升按鈕 f2upbutton:in std_logic;-二樓

18、上升按鈕 f3upbutton:in std_logic;-三樓上升按鈕 f4upbutton:in std_logic;-四樓上升按鈕 f5upbutton:in std_logic; f6upbutton:in std_logic; f7upbutton:in std_logic; f2dnbutton:in std_logic; f3dnbutton:in std_logic; f4dnbutton:in std_logic; f5dnbutton:in std_logic; f6dnbutton:in std_logic; f7dnbutton:in std_logic; f8dnbu

19、tton:in std_logic; fuplight:buffer std_logic_vector(8 downto 1);-上升指示燈 fdnlight:buffer std_logic_vector(8 downto 1);-下降指示燈 stop1button,stop2button,stop3button, stop4button, stop5button, stop6button, stop7button, stop8button:in std_logic; stoplight:buffer std_logic_vector(8 downto 1);-內(nèi)部停止指示燈position

20、:buffer integer range 1 to 8;-樓層位置顯示 doorlight:out std_logic;-電梯門指示燈 1 開 0關(guān) udsig:buffer std_logic );-0處于上升模式,1處于下降模式 end eightlift;architecture art of eightlift is type lift_state is (stopon1,dooropen,doorclose,doorwait4,up,down,stop);-電梯的 7 個(gè)狀態(tài)signal mylift:lift_state;-內(nèi)部電梯狀態(tài)信號signal clearup:std_l

21、ogic;-上升清除信號signal cleardn:std_logic;-下降清除信號begin controllift:process(reset,liftclk) variable pos:integer range 1 to 8;-樓層位置變量begin if reset=1 then mylift=stopon1;-起始狀態(tài) clearup=0;-上樓信號清除 cleardn=0;-下樓信號清除 pos:=1;-處于一樓 position doorlight=1;-電梯門開 position=1;-電梯處于一樓 pos:=1;mylift mylift doorlight=0;-電梯

22、門關(guān) if udsig=0 then-電梯處于上升模式 if position=8 then if stoplight=00000000 and fuplight=00000000 and fdnlight=00000000 then udsig=1;mylift=doorclose; else udsig=1;mylift=down; end if; elsif position=7 then if stoplight=00000000 and fuplight=00000000 and fdnlight=00000000 then udsig=0;mylift=doorclose; els

23、if stoplight(8)=1 or fdnlight(8)=1 then udsig=0;mylift=up; else udsig=1;mylift=down; end if; elsif position=6 then if stoplight=00000000 and fuplight=00000000 and fdnlight=00000000 then udsig=0;mylift=doorclose; elsif stoplight(7)=1 or stoplight(8)= 1 or fdnlight(8)=1 or fdnlight(7)=1then udsig=0;my

24、lift=up; else udsig=1;mylift=down; end if;elsif position=5 then if stoplight=00000000 and fuplight=00000000 and fdnlight=00000000 then udsig=0;mylift=doorclose; elsif stoplight(6)=1 or stoplight(7)=1 or stoplight(8)= 1 or fdnlight(8)=1 or fdnlight(7)=1 or fdnlight(6)=1 then udsig=0;mylift=up; else u

25、dsig=1;mylift=down;end if;elsif position=4 then if stoplight=00000000 and fuplight=00000000 and fdnlight=00000000 then udsig=0;mylift=doorclose; elsif stoplight(5)=1 or stoplight(6)=1 or stoplight(7)=1 or stoplight(8)= 1 or fdnlight(8)=1 or fdnlight(7)=1 or fdnlight(6)=1 or fdnlight(5)=1 then udsig=

26、0;mylift=up; else udsig=1;mylift=down;end if;elsif position=3 then if stoplight=00000000 and fuplight=00000000 and fdnlight=00000000 then udsig=0;mylift=doorclose; elsif stoplight(4)=1 or stoplight(5)=1 or stoplight(6)=1 or stoplight(7)=1 or stoplight(8)= 1 or fdnlight(8)=1 or fdnlight(7)=1 or fdnli

27、ght(6)=1 or fdnlight(5)=1 or fdnlight(4)=1 then udsig=0;mylift=up; else udsig=1;mylift=down;end if;elsif position=2 then if stoplight=00000000 and fuplight=00000000 and fdnlight=00000000 then udsig=0;mylift=doorclose; elsif stoplight(3)=1 or stoplight(4)=1 or stoplight(5)=1 or stoplight(6)=1 or stop

28、light(7)=1 or stoplight(8)= 1 or fdnlight(8)=1 or fdnlight(7)=1 or fdnlight(6)=1 or fdnlight(5)=1 or fdnlight(4)=1 or fdnlight(3)=1 then udsig=0;mylift=up; else udsig=1;mylift=down;end if;elsif position=1 then if stoplight=00000000 and fuplight=00000000 and fdnlight=00000000 then udsig=0;mylift=door

29、close; else udsig=0;mylift=up; end if; end if;elsif udsig=1 then if position=1 then if stoplight=00000000 and fuplight=00000000 and fdnlight=00000000 then udsig=0;mylift=doorclose; else udsig=0; mylift=up; end if; elsif position=2 then if stoplight=00000000 and fuplight=00000000 and fdnlight=0000000

30、0 then udsig=1;mylift=doorclose; elsif stoplight(1)=1 or fuplight(1)=1 then udsig=1;mylift=down; else udsig=0; mylift=up; end if; elsif position=3 then if stoplight=00000000 and fuplight=00000000 and fdnlight=00000000 then udsig=1;mylift=doorclose; elsif stoplight(1)=1 or stoplight(2)=1 or fuplight(

31、1)=1 or fuplight(2)=1then udsig=1;mylift=down; else udsig=0; mylift=up; end if; elsif position=4 then if stoplight=00000000 and fuplight=00000000 and fdnlight=00000000 then udsig=1;mylift=doorclose; elsif stoplight(1)=1 or stoplight(2)=1 or stoplight(3)=1 or fuplight(1)=1 or fuplight(2)=1 or fupligh

32、t(3)=1then udsig=1;mylift=down; else udsig=0; mylift=up; end if; elsif position=5 then if stoplight=00000000 and fuplight=00000000 and fdnlight=00000000 then udsig=1;mylift=doorclose; elsif stoplight(1)=1 or stoplight(2)=1 or stoplight(3)=1 or stoplight(4)=1 or fuplight(1)=1 or fuplight(2)=1 or fupl

33、ight(3)=1 or fuplight(4)=1 then udsig=1;mylift=down;else udsig=0; mylift=up;end if;elsif position=6 then if stoplight=00000000 and fuplight=00000000 and fdnlight=00000000 then udsig=1;mylift=doorclose; elsif stoplight(1)=1 or stoplight(2)=1 or stoplight(3)=1 or stoplight(4)=1 or stoplight(5)=1 orfup

34、light(1)=1 or fuplight(2)=1 or fuplight(3)=1 or fuplight(4)=1 or fuplight(5)=1 then udsig=1;mylift=down;else udsig=0; mylift=up;end if;elsif position=7 then if stoplight=00000000 and fuplight=00000000 and fdnlight=00000000 then udsig=1;mylift=doorclose; elsif stoplight(1)=1 or stoplight(2)=1 or stop

35、light(3)=1 or stoplight(4)=1 or stoplight(5)=1 or stoplight(6)=1 orfuplight(1)=1 or fuplight(2)=1 or fuplight(3)=1 or fuplight(4)=1 or fuplight(5)=1 or fuplight(6)=1 then udsig=1;mylift=down;else udsig=0; mylift=up;end if;elsif position=8 then if stoplight=00000000 and fuplight=00000000 and fdnlight

36、=00000000 then udsig=1;mylift=doorclose; else udsig=1; myliftposition=position+1;pos:=pos+1; if position 8 and( stoplight(pos)=1 or fuplight(pos)=1) then mylift=stop; elsif pos=8 and (stoplight(pos)=1 or fdnlight(pos)=1)then mylift=stop; else mylift position1 and (stoplight(pos)=1 or fdnlight(pos)=1

37、) thenmylift=stop; elsif pos=1 and (stoplight(pos)=1 or fuplight(pos)=1) then mylift=stop; else myliftmylift doorlight=1;if udsig=0 thenif position8 and (stoplight(position)=1 or fuplight(position)=1) then clearup=1;else clearup=1;cleardn1 and (stoplight(position)= 1 or fdnlight(position)=1) thencleardn=1;else clearup=1;cleardn=1;end if;end if;mylift=doorwait4; end case; end if; end i

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論