基于AT89S52單片機(jī)的火災(zāi)自動(dòng)報(bào)警器設(shè)計(jì)_第1頁
基于AT89S52單片機(jī)的火災(zāi)自動(dòng)報(bào)警器設(shè)計(jì)_第2頁
基于AT89S52單片機(jī)的火災(zāi)自動(dòng)報(bào)警器設(shè)計(jì)_第3頁
基于AT89S52單片機(jī)的火災(zāi)自動(dòng)報(bào)警器設(shè)計(jì)_第4頁
基于AT89S52單片機(jī)的火災(zāi)自動(dòng)報(bào)警器設(shè)計(jì)_第5頁
已閱讀5頁,還剩31頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 畢業(yè)論文(設(shè)計(jì))畢業(yè)論文(設(shè)計(jì))題題 目目 基于基于 at89s52 單片機(jī)的火災(zāi)自動(dòng)報(bào)警器設(shè)計(jì)單片機(jī)的火災(zāi)自動(dòng)報(bào)警器設(shè)計(jì) 院院 系系 自動(dòng)控制系自動(dòng)控制系 專專 業(yè)業(yè) 電氣工程與自動(dòng)化電氣工程與自動(dòng)化 學(xué)生姓名學(xué)生姓名 學(xué)學(xué) 號(hào)號(hào) 指導(dǎo)教師指導(dǎo)教師 職職 稱稱 教教 授授 二一三二一三 年年 六六 月月 一一 日日目目 錄錄摘要 .11 緒論.11.1 火災(zāi)報(bào)警器的意義 .11.2 火災(zāi)報(bào)警器的發(fā)展歷史 .21.3 中國(guó)的火災(zāi)報(bào)警器 .22 火災(zāi)報(bào)警器的整體設(shè)計(jì).22.1 本設(shè)計(jì)的目標(biāo) .22.2 火災(zāi)報(bào)警器的類型 .23 主要硬件設(shè)計(jì).43.1 主要組成部分 .43.2 單片機(jī) .53.

2、2.1 單片機(jī)最小系統(tǒng)電路.63.3 a/d 轉(zhuǎn)換器.73.4 煙霧傳感器 .83.6 led 數(shù)碼管.103.6 報(bào)警器 .124 軟件設(shè)計(jì).134.1 主程序流程圖 .134.2 keil .145 實(shí)物制作展示.155.1 實(shí)物制作注意事項(xiàng) .155.2 實(shí)物效果圖 .156 總結(jié).16參考文獻(xiàn) .17abstract .18謝辭 .19附錄 .20附錄一 proteus仿真 .20附錄二 源程序 .21基于基于 at89s52at89s52 單片機(jī)的火災(zāi)自動(dòng)報(bào)警器設(shè)計(jì)單片機(jī)的火災(zāi)自動(dòng)報(bào)警器設(shè)計(jì)摘要:摘要:為了盡早的發(fā)現(xiàn)火災(zāi),減少火災(zāi)中的財(cái)產(chǎn)和生命損失。本文設(shè)計(jì)了一種火災(zāi)自動(dòng)報(bào)警器。在溫

3、度傳感器 ds18b20 感應(yīng)到溫度異常升高或者煙霧傳感器 mq-2 感應(yīng)到煙霧的變化時(shí),將采集的數(shù)據(jù)交予單片機(jī) at89s52 處理,單片機(jī)會(huì)對(duì)數(shù)據(jù)進(jìn)行判斷,如果認(rèn)為發(fā)生了火災(zāi),報(bào)警器便發(fā)出警報(bào),并顯示溫度和煙霧濃度。相比只有單一傳感器的火災(zāi)報(bào)警器該系統(tǒng)最大的優(yōu)點(diǎn)便是可靠性高,大大降低了火災(zāi)錯(cuò)報(bào)和漏報(bào)的幾率。而且 mq-2 煙霧傳感器不僅可以探測(cè)到火災(zāi)發(fā)生時(shí)的煙霧,還能探測(cè)到一氧化碳、氫氣、液化氣、甲烷、丙烷等易燃易爆氣體,在火災(zāi)還未發(fā)生時(shí)就及時(shí)報(bào)警,防患于未然。該系統(tǒng)具有結(jié)構(gòu)簡(jiǎn)單、靈敏度高、抗干擾能力強(qiáng)、性能穩(wěn)定和價(jià)格低廉等優(yōu)點(diǎn)。關(guān)鍵詞關(guān)鍵詞:火災(zāi)報(bào)警;單片機(jī);煙霧傳感器;溫度傳感器1 緒

4、論1.1 火災(zāi)報(bào)警器的意義自從人類懂得使用火以來,火便成了人們生活中不可缺少的一部分,它帶給了人們溫暖和光明,但它也給人們帶來了無數(shù)的災(zāi)難。據(jù)公安部公布的全國(guó)火災(zāi)情況分析介紹:僅在 2011 一年間,全國(guó)火災(zāi)造成的直接財(cái)產(chǎn)損失高達(dá) 20.6 億元,財(cái)產(chǎn)損失還是次要,更讓人傷心的是因火災(zāi)逝去的 1108 條生命。表 1 全國(guó)火災(zāi) 24 小時(shí)分布情況時(shí)間年份2010 年2011 年000216316502041841930406143126060886960810736910127050121445531416103681618664318205451202284452224134149通過表 1

5、 我們可以發(fā)現(xiàn)每天的 22 時(shí)至 6 時(shí)是火災(zāi)死亡人數(shù)最多的,在 2010 年該時(shí)段共發(fā)生火災(zāi)32549 起,造成 624 人死亡,雖然起數(shù)只占總火災(zāi)起數(shù)的 24.6%,但死亡人數(shù)占到全年火災(zāi)死亡總?cè)藬?shù)的51.8%。同樣 2011 年間該時(shí)段共發(fā)生火災(zāi) 30128 起,只占了全年火災(zāi)總數(shù)的 24%,但是這些火災(zāi)卻造成633 人死亡,占全年因火災(zāi)死亡人數(shù)總數(shù)的 57.1%;全年 87 起較大規(guī)模以上火災(zāi)中,有 60 起發(fā)生在這一時(shí)間段,占全部大規(guī)模火災(zāi)總數(shù)的 69%。產(chǎn)生這種情況的原因是在夜間人們生活活動(dòng)的減少,所以火災(zāi)起數(shù)不高,但夜間人們防范措施不到位,火災(zāi)容易發(fā)展成大火災(zāi),造成重大人員損失。

6、火災(zāi)報(bào)警器正是為了彌補(bǔ)人力的缺失,為了盡早發(fā)現(xiàn)火災(zāi)才誕生的。1.2 火災(zāi)報(bào)警器的發(fā)展歷史在中國(guó)古代城市建有很多很高的望樓,除了防范戰(zhàn)爭(zhēng),還起著防范火災(zāi)的作用。人們站在望樓里觀察整個(gè)城市,觀察到火災(zāi)便發(fā)出警報(bào),這就是最早的火災(zāi)報(bào)警系統(tǒng)。隨著現(xiàn)代科技發(fā)展,這種人工原始的方法被各種高科技消防報(bào)警裝置取代,高科技消防報(bào)警裝置的誕生大大解放了人力。1852 年世界第一臺(tái)火災(zāi)報(bào)警系統(tǒng)出現(xiàn)在美國(guó);1874 年英國(guó)安裝了世界第一臺(tái)用于城鎮(zhèn)火災(zāi)報(bào)警的裝置;1890 年英國(guó)人研制出了感溫式火災(zāi)報(bào)警器;20 世紀(jì) 20 年代,人們掌握了升溫速率原理,借此發(fā)明了差溫型火災(zāi)報(bào)警器;20 世紀(jì) 5070 年代,隨著離子煙

7、霧傳感器的發(fā)明,出現(xiàn)了感煙火災(zāi)報(bào)警器。1.3 中國(guó)的火災(zāi)報(bào)警器中國(guó)的消防報(bào)警產(chǎn)品是接近90年代才起步,落后外國(guó)好多年。當(dāng)時(shí)中國(guó)市場(chǎng)上都是國(guó)外的大品牌,但是中國(guó)企業(yè)沒有放棄,抓住了機(jī)遇,在技術(shù)上不斷改革創(chuàng)新,技術(shù)上達(dá)到了世界領(lǐng)先,現(xiàn)在國(guó)內(nèi)市場(chǎng)上大部分產(chǎn)品都是中國(guó)制造,并且走出國(guó)門,進(jìn)軍海外。根調(diào)查顯示,美國(guó)93%的家庭裝有火災(zāi)報(bào)警器,而我國(guó)只有在一些新建的,人口密集的公共場(chǎng)所才安裝了火災(zāi)報(bào)警器,家庭安裝的更是少有。根據(jù)我國(guó)龐大的人口基數(shù),可以預(yù)見我國(guó)在火災(zāi)報(bào)警器上有著多么大的市場(chǎng),這充分說明了我國(guó)市場(chǎng)前景的廣闊。2 火災(zāi)報(bào)警器的整體設(shè)計(jì)2.1 本設(shè)計(jì)的目標(biāo)本設(shè)計(jì)的目標(biāo)是當(dāng)檢測(cè)到的環(huán)境溫度超過設(shè)定

8、的報(bào)警溫度時(shí),蜂鳴器會(huì)發(fā)聲報(bào)警?;馂?zāi)發(fā)生時(shí)除了周圍環(huán)境溫度會(huì)升高,還會(huì)產(chǎn)生煙霧,本設(shè)計(jì)中的煙霧傳感器檢測(cè)到燃燒釋放的煙霧時(shí),將信號(hào)傳送進(jìn)a/d 轉(zhuǎn)換器進(jìn)行處理模數(shù)轉(zhuǎn)化再把轉(zhuǎn)換好的信號(hào)送到單片機(jī)進(jìn)行處理。當(dāng)檢測(cè)到煙霧濃度超標(biāo)時(shí),蜂鳴器也會(huì)進(jìn)行報(bào)警,煙霧和溫度的報(bào)警值都是可以設(shè)置的。此外,本設(shè)計(jì)還設(shè)計(jì)了手動(dòng)報(bào)警按鍵,可以在因各種原因無法自動(dòng)報(bào)警時(shí)可以手動(dòng)報(bào)警。2.2 火災(zāi)報(bào)警器的類型根據(jù)報(bào)警器所使用的傳感器種類不同,火災(zāi)報(bào)警器可以分為以下四種類型:(1)感溫型火災(zāi)報(bào)警器由于火災(zāi)發(fā)生時(shí)燃燒會(huì)產(chǎn)生大量的熱量,使得周圍溫度快速的變高。溫度傳感器將周圍環(huán)境溫度的變化轉(zhuǎn)換成電信號(hào),處理器通過判斷電信號(hào)的大小

9、來判斷是否發(fā)生了火災(zāi),如果發(fā)生了火災(zāi)就會(huì)報(bào)警。一般分為定溫型(溫度達(dá)到一定值報(bào)警)和溫差型(升溫幅度達(dá)到一定值報(bào)警) 。一般來說定溫型容易受到外界其他因素的干擾,溫差型相對(duì)抗干擾能力比較強(qiáng)。(2)感煙型火災(zāi)報(bào)警器火災(zāi)早期,物質(zhì)燃燒剛剛開始,這個(gè)時(shí)期被稱為陰燃階段。一般來說這個(gè)階段還沒有明火或者火焰很小,燃燒物質(zhì)接觸的空氣不足,氧氣不足的燃燒會(huì)導(dǎo)致燃燒不完全,未燃盡的物質(zhì)會(huì)產(chǎn)生大量煙霧。煙霧式火災(zāi)報(bào)警器可以將空氣中的煙霧轉(zhuǎn)換成可用的電信號(hào),判斷電信號(hào)就能判斷是否著火,并發(fā)出報(bào)警。根據(jù)煙霧傳感器的不同一般可分為光電感煙型、離子感煙型和激光感煙型等。(3)感光型火災(zāi)報(bào)警器火災(zāi)發(fā)生時(shí)火焰燃燒除了產(chǎn)生熱

10、量和煙霧以外還會(huì)產(chǎn)生光,感光型火災(zāi)報(bào)警器將環(huán)境中光的模擬量轉(zhuǎn)換成電信號(hào),進(jìn)而判斷是否發(fā)生火災(zāi),根據(jù)傳感器的不同分為紫外線報(bào)警器(對(duì)短波敏感)和紅外線報(bào)警器(對(duì)長(zhǎng)波敏感) 。但是因?yàn)楣鈧鞲衅魅菀资艿酵饨绻庠吹挠绊?,一般來說可靠性比較差。(4)復(fù)合型火災(zāi)報(bào)警器單一傳感器火災(zāi)報(bào)警器容易受到各種影響產(chǎn)生誤報(bào),可靠性差。為了提高可靠性,人們將多種傳感器集中到一個(gè)火災(zāi)報(bào)警器上,這就是復(fù)合型火災(zāi)報(bào)警器。復(fù)合型火災(zāi)報(bào)警器有很多種,將前面的三種報(bào)警器中的任意兩種組合甚至全部組合一起便是復(fù)合型火災(zāi)報(bào)警器,大大提高了可靠性??梢姡瑥?fù)合型火災(zāi)報(bào)警器要優(yōu)于單一的火災(zāi)報(bào)警器,因此本文設(shè)計(jì)了一種復(fù)合型火災(zāi)報(bào)警器。根據(jù)火災(zāi)時(shí)

11、現(xiàn)象的不同,可以將火災(zāi)分為起初、陰燃、全燃、熄滅等。普通可燃物在燃燒時(shí)的過程首先是產(chǎn)生燃燒氣體,然后放出煙霧,進(jìn)入陰燃,在氧氣充足的條件下才能全燃,產(chǎn)生火焰,火焰發(fā)出可見光和不可見光,并向周圍散發(fā)大量的熱,環(huán)境溫度隨之升高。在起火過程中,起初和陰燃兩個(gè)階段所占的時(shí)間比較長(zhǎng),雖然產(chǎn)生大量的煙霧,但危害和造成的損失也相對(duì)較小,因?yàn)闆]有大的明火,所以環(huán)境溫度較低,溫度傳感器無法感應(yīng),但是產(chǎn)生的大量的煙霧卻會(huì)向上飄,堆積在天花板上,這時(shí)若是使用安裝在天花板上的煙霧傳感器,就可以及時(shí)發(fā)現(xiàn)火災(zāi),將火災(zāi)損失控制在最小。而一些情況下的燃燒并不會(huì)產(chǎn)生煙霧或很少有煙霧,比如氫氣燃燒,這時(shí)就需要溫度傳感器來進(jìn)行探測(cè)

12、。所以本文設(shè)計(jì)了一種煙霧溫度復(fù)合型火災(zāi)報(bào)警器。起火過程曲線如圖 2-1 所示。圖 2-1 火災(zāi)過程中溫度和煙霧曲線3 主要硬件設(shè)計(jì)硬件設(shè)計(jì)使用的工具是 proteus,proteus 是目前使用最多的最好的單片機(jī)仿真軟件。本設(shè)計(jì)作為一個(gè)典型的單片機(jī)設(shè)計(jì),首先也是必要的就是做出仿真,仿真做好才能調(diào)試軟件,并且制作實(shí)物。圖 3-1 proteus 界面圖3.1 主要組成部分本設(shè)計(jì)的火災(zāi)報(bào)警器由火災(zāi)探測(cè)部分(溫度和煙霧傳感器)、報(bào)警控制器(單片機(jī))、報(bào)警和顯示部分(數(shù)碼管、蜂鳴器等)組成,也就是一個(gè)系統(tǒng)的輸入部分、處理部分、輸出部分?;馂?zāi)探測(cè)部分通過對(duì)火災(zāi)發(fā)出的氣體煙霧和溫度的探測(cè),將探測(cè)到的信號(hào)轉(zhuǎn)

13、化成數(shù)字信號(hào)傳遞給控制器也就是單片機(jī)。單片機(jī)將接收到信號(hào)后經(jīng)分析處理后判斷是否發(fā)出報(bào)警信號(hào),并在屏幕上顯示當(dāng)前溫度和煙霧濃度。如果判斷有火災(zāi),蜂鳴器將在單片機(jī)的指揮下發(fā)出警報(bào)聲警示火災(zāi)的發(fā)生。圖 3-2 主要結(jié)構(gòu)圖3.2 單片機(jī) 本設(shè)計(jì)使用的是 at89s52 單片機(jī),at89 系列單片機(jī)是 atmel 公司以 intel 的 8051 為核心加以改進(jìn),結(jié)合自身優(yōu)勢(shì)構(gòu)造而成的。對(duì)于 8051 來說,at89 系列單片機(jī)具有以下幾個(gè)優(yōu)點(diǎn):和 8051 系列指令、管腳完全兼容,因?yàn)樗鼈儾捎玫氖且粯拥膬?nèi)核;含有 flash 存儲(chǔ)器,這種存儲(chǔ)器可以使用戶很方便的用電的方式瞬間擦寫程序,這使開發(fā)設(shè)備的要

14、求降低,開發(fā)時(shí)間縮短。并且可以隨意進(jìn)行程序的擦寫,at89s52 單片機(jī)含有 8kb 的 flash,擦寫次數(shù)不小于 1000 次;采用靜態(tài)時(shí)鐘方式,這種方式可以節(jié)省電能;綜上所述 at89 系列單片機(jī)具有其獨(dú)特的優(yōu)點(diǎn),這也是廣大用戶選擇它的主要原因。引腳圖如 3-3 所示。圖3-3 at89s52引腳圖(1)vcc 和 gnd電源端和接地端。(2)p0 口有兩種功能,第一種功能用作通用/口,用做通用/口時(shí),因?yàn)?p0 口是開漏級(jí),這時(shí)必須外接上拉電阻;當(dāng)用做輸入時(shí)每個(gè)端口首先要置 1,作為輸出時(shí)不用置 1。第二種功能 p0 口用作訪問片外數(shù)據(jù)或程序存儲(chǔ)器時(shí)的低 8 位地址/數(shù)據(jù)總線的復(fù)用口,

15、因?yàn)?p0 口內(nèi)含上拉電阻,所以無需外接上拉電阻。p0 口每個(gè)引腳可驅(qū)動(dòng) 8 個(gè) ttl 負(fù)載(晶體管-晶體管邏輯電路) ,其他引腳只能驅(qū)動(dòng) 4 個(gè) ttl負(fù)載。(3)p1 口只有一種功能那就是用做通用/口,沒有第二種功能。因?yàn)槠鋬?nèi)部已經(jīng)接有上拉電阻,所以 p1 口不需要外接上拉電阻。當(dāng)用做輸入時(shí),每個(gè)端口首先要置 1。p1.0 和 p1.1 引腳也可以用做定時(shí)器 2 的觸發(fā)器輸入(p1.1/t2ex)和外部計(jì)數(shù)輸入(p1.0/t2)。(4)p2 口也有兩種功能。第一種就是 p2 口作為通用/口使用,不需要外接上拉電阻;第二種就是作為地址線使用,這種情況只有當(dāng)系統(tǒng)有外部擴(kuò)展存儲(chǔ)器或者/接口時(shí)才

16、會(huì)發(fā)生,這種時(shí)候 p2 口用作地址高 8 位信號(hào)線。(5)p3 口比較特殊,除了同樣用來做通用/口使用外,每個(gè)引腳都具有自己的第二功能(復(fù)用功能) 。當(dāng)這些引腳使用第二功能時(shí),就不能再把它當(dāng)作通用/口使用,而其他的引腳不會(huì)受到影響,仍然可以作為通用/口使用。p3 口作為通用/口使用時(shí),與 p1 口相類似,無需外接上拉電阻。p3 引腳的復(fù)用功能具體如表 2 所示。表 2 p3 端口引腳與復(fù)用功能表(6)rst 是復(fù)位輸入端。當(dāng)系統(tǒng)處于運(yùn)行狀態(tài)時(shí)我們有時(shí)候會(huì)需要系統(tǒng)回到開始的時(shí)候,這個(gè)時(shí)候向rst 引腳輸入一段時(shí)間的高電平,則 cpu 就會(huì)將系統(tǒng)復(fù)位。復(fù)位包括上電復(fù)位和手動(dòng)復(fù)位,需要上電復(fù)位是因?yàn)?/p>

17、單片機(jī)剛剛上電時(shí)系統(tǒng)不太穩(wěn)定,上電復(fù)位推遲了 cpu 的啟動(dòng),是系統(tǒng)不會(huì)發(fā)生錯(cuò)誤。手動(dòng)復(fù)位一般是在系統(tǒng)錯(cuò)誤或者希望系統(tǒng)中斷重修開始時(shí)使用的,就本設(shè)計(jì)來說復(fù)位鍵就起著停止報(bào)警重修開始的作用。(7)ea/vpp 是外部存取允許信號(hào)。需要單片機(jī)只執(zhí)行外部程序存儲(chǔ)器的指令的時(shí)候,ea 接地要。當(dāng)需要單片機(jī)執(zhí)行片內(nèi)程序存儲(chǔ)器的指令的時(shí)候,ea 要接 vcc,如果需要可以自動(dòng)轉(zhuǎn)到執(zhí)行片外存儲(chǔ)器的指令。本設(shè)計(jì)是執(zhí)行內(nèi)部存儲(chǔ)器的程序,ea 接 vcc,如果不接很大可能會(huì)出現(xiàn)系統(tǒng)錯(cuò)誤,單片機(jī)不知道該執(zhí)行哪的程序。(8)xtal1 和 xtal2 是接外部振蕩器的兩個(gè)引腳,外部振蕩器是單片機(jī)運(yùn)行最重要的部分之一,

18、所以這兩個(gè)引腳必須要接。其中 xtal1 接地,xtal2 接外部振蕩器。具體接法如圖 3-4.3.2.1 單片機(jī)最小系統(tǒng)電路(1)單片機(jī) rst 腳接復(fù)位電路,可按復(fù)位按鈕給單片機(jī)復(fù)位。(2)仿真中晶振一般采用 12mhz 的晶振,實(shí)際電路中采用的多為 11.0592mhz。(3)因?yàn)閱纹瑱C(jī)只執(zhí)行內(nèi)部程序存儲(chǔ)器中的指令,所以單片機(jī)的 ea 腳要接高電平 vcc。圖3-4 單片機(jī)最小系統(tǒng)結(jié)構(gòu)圖3.3 a/d轉(zhuǎn)換器本設(shè)計(jì)使用的a/d轉(zhuǎn)換是adc0832。adc0832是一種8位分辨率雙通道a/d轉(zhuǎn)換芯片。圖3-5 adc0832引腳圖如圖3-5所示,adc0832一共有8個(gè)接口,各個(gè)引腳的功能如

19、表3所示。其中和單片機(jī)相連的接口有4條,分別是clk、cs、di和do。但di端與do端在和單片機(jī)進(jìn)行數(shù)據(jù)傳輸時(shí)不會(huì)一起進(jìn)行,di是通道選擇接口,在開始進(jìn)行轉(zhuǎn)換時(shí)對(duì)通道ch1和ch0進(jìn)行選擇,然后就沒用了,接著d0開始工作,所以在設(shè)計(jì)電路時(shí)一般可以將di和do連在一根線上連接單片機(jī),這樣可以節(jié)省一個(gè)單片機(jī)的引腳。表3 adc0832引腳功能表adc0832 的工作步驟主要分為四步:1、cs 端相當(dāng)于芯片的開關(guān),低電平時(shí)芯片工作,高電平時(shí)停止工作。當(dāng)要進(jìn)行 a/d 轉(zhuǎn)換時(shí),先要將cs 端置于低電平并且保持低電平直到轉(zhuǎn)換完全結(jié)束。芯片開始轉(zhuǎn)換工作時(shí)芯片時(shí)鐘輸入端 clk 會(huì)同時(shí)由單片機(jī)輸入時(shí)鐘脈沖

20、。2、adc0832 有兩個(gè)模擬量輸入端口 ch0 和 ch1,優(yōu)點(diǎn)是可以一個(gè) a/d 轉(zhuǎn)換模塊就可以轉(zhuǎn)換兩個(gè)數(shù)據(jù),節(jié)省了部件。缺點(diǎn)就是必須進(jìn)行通道的選擇,di 口就是就是用來選擇通道的。在第二個(gè)和第三個(gè)脈沖時(shí)通過輸入到 di 口的電平不同來選擇通道,選擇完畢后 di 端口就失去作用。3、do 端開始輸出轉(zhuǎn)換后的數(shù)據(jù)。第 4 個(gè)脈沖輸出 d7,隨后每一個(gè)脈沖輸出下一位數(shù)據(jù)。直到第 11個(gè)脈沖時(shí)輸出 d0,這樣第一個(gè)字節(jié)的數(shù)據(jù)就已經(jīng)輸入到了單片機(jī)。然后從此開始反著輸出下一個(gè)字節(jié)的數(shù)據(jù),即從第 11 個(gè)下沉輸出 d0,第 12 個(gè)下沉輸出 d1,以此類推到第 19 個(gè)脈沖時(shí)全部數(shù)據(jù)輸出完成。4、最

21、后將 cs 置高電平芯片停止工作,一次轉(zhuǎn)換完成。3.4煙霧傳感器煙霧傳感器通過檢測(cè)空氣中的煙霧濃度來確定是否發(fā)生火災(zāi),根據(jù)傳感感煙方式不同分為離子式、光電式和氣敏式煙霧傳感器。離子式煙霧傳感器的優(yōu)點(diǎn)是性能全面,對(duì)各種煙霧都可以很好的探測(cè),所以工作起來比較可靠:光電式煙霧傳感器優(yōu)點(diǎn)是速度快,擅長(zhǎng)對(duì)大火中產(chǎn)生的較大顆粒的煙霧進(jìn)行探測(cè),但是對(duì)小顆粒的煙霧的探測(cè)卻存在不足;氣敏式傳感器除了對(duì)煙霧敏感外還對(duì)可燃?xì)怏w敏感,可以對(duì)很多特定的可燃?xì)怏w進(jìn)行探測(cè),因?yàn)槠浜苋菀缀蛦纹瑱C(jī)等計(jì)算機(jī)進(jìn)行連接,所以在單片機(jī)系統(tǒng)中經(jīng)常使用。本設(shè)計(jì)使用了氣敏式傳感器,在單純對(duì)煙霧的探測(cè)方面,氣敏式傳感器性能并不如離子式傳感器,

22、但它卻可以探測(cè)空氣中可燃?xì)怏w??梢杂行У靥綔y(cè)一氧化碳、氫氣、液化氣、甲烷、丙烷等易燃易爆氣體等多種可燃性氣體的微量泄漏,所以還是有著大量的應(yīng)用。在本設(shè)計(jì)中使用了mq-2煙霧傳感器。mq-2型煙霧傳感器由二氧化錫半導(dǎo)體氣敏材料做成,煙霧傳感器中有個(gè)加熱絲,通電后煙霧傳感器會(huì)加熱,當(dāng)加熱到200300攝氏度時(shí)時(shí)候,空氣中的氧會(huì)被煙霧傳感器中的二氧化錫(sno)吸附,氧中的負(fù)離子會(huì)導(dǎo)致半導(dǎo)體中的電子密度減少,電阻值增加。當(dāng)傳感器與煙霧接觸時(shí),吸附的氧減少,電子密度增加,從而導(dǎo)致電阻值的改變,利用這一點(diǎn)就可以很方便的檢測(cè)煙霧的存在。封裝好的mq-2有6個(gè)引腳,其中2個(gè)是加熱用的,其他4個(gè)是數(shù)據(jù)流出引腳

23、。煙霧傳感器mq-2是電阻類元件,當(dāng)檢測(cè)到煙霧的時(shí)候,mq-2內(nèi)部的電阻值變化,從而導(dǎo)致6腳輸出電壓變化,電壓大小為0-5v,通過adc0832的轉(zhuǎn)換,將電壓模擬量轉(zhuǎn)化成數(shù)字量送給單片機(jī)處理。圖 3-6 煙霧傳感器和 a/d 模塊3.5 溫度傳感器本設(shè)計(jì)使用的溫度探測(cè)器是 ds18b20 數(shù)字溫度傳感器。它的為測(cè)溫范圍55+125,特別值得注意的是其有 0.5的固有測(cè)溫誤差,測(cè)量誤差還是很大的,但作為火災(zāi)報(bào)警器來說并不要求太高的精度。這種傳感器具有價(jià)格低廉,體積小,結(jié)實(shí)耐用等優(yōu)點(diǎn),特別是 ds18b20 在與單片機(jī)連接時(shí)不需要任何外圍部件,只要要一條線即可實(shí)現(xiàn)單片機(jī)與 ds18b20 的連接,

24、使用十分簡(jiǎn)單,因此在單片機(jī)系統(tǒng)中的使用十分廣泛。ds18b20 有三只引腳,gnd,dq,和 vdd。封裝后的結(jié)構(gòu)圖如圖 3-7 所示。圖 3-7 ds18b20 數(shù)字溫度傳感器ds18b20 的三個(gè)引腳功能如表 4 所示,其中 gnd 引腳接地,vdd 為可選的5v 引腳,在實(shí)際中一般接vcc,dq 引腳也就是數(shù)據(jù)輸出引腳可以直接和單片機(jī)連接。具體結(jié)構(gòu)仿真如圖 3-8。表 4ds18b20 詳細(xì)引腳功能描述圖 3-8 ds18b20 模塊結(jié)構(gòu)圖3.6 led 數(shù)碼管本設(shè)計(jì)的顯示系統(tǒng)使用的是發(fā)光二極管顯示器(led),又稱數(shù)碼管,價(jià)格低廉和使用方便是其最大的優(yōu)點(diǎn),使用方便主要表現(xiàn)為其自身結(jié)構(gòu)十

25、分簡(jiǎn)單而且與單片機(jī)連接方式也很簡(jiǎn)單,控制起來也十分方便,因此其在單片機(jī)開發(fā)中十分受歡迎。led 數(shù)碼管顯示器由 7 段條形的發(fā)光二極管組成,這些二極管組成了“8”字形。例如,要顯示“1”則點(diǎn)亮 b、c 段的二極管,顯示“2”就點(diǎn)亮“abged”段的數(shù)碼管。在 led 數(shù)碼管顯示器中,為了簡(jiǎn)化驅(qū)動(dòng)電路通常會(huì)把各個(gè)發(fā)光二極管的陰極或陽極邊放在一起進(jìn)行接地或者接 vcc。發(fā)光二極管的陰極一起接地的為共陰極,陽極一起接高電平的為共陽極接法。圖 3-9 led 數(shù)碼管顯示器結(jié)構(gòu)和接法圖根據(jù)二極管單向?qū)ǖ奶匦詮膱D 3-10 不難看出對(duì)于共陰極數(shù)碼管,想要點(diǎn)亮字段就要從輸入端輸入用高電平“1” ;而對(duì)于共

26、陽極數(shù)碼管,點(diǎn)亮字段則要輸入低電平也就是“0” 。這樣就可以把要顯示的數(shù)字與像表 4 那樣和二進(jìn)制代碼一一對(duì)應(yīng)起來,根據(jù)需要顯示的字段是否點(diǎn)亮就能對(duì) 7 段 led 數(shù)碼顯示器實(shí)現(xiàn)編碼。表 4 7 段數(shù)碼管顯示器編碼表字型gfedcba共陰共陽001111113fc0100001100cf3210110117689310011115ea1411001104db2511011015ba4611111017b84700001110ef1811111117f80911011115fa0單片機(jī)的引腳電流雖然可以直接驅(qū)動(dòng)很多原件,但是有些耗電大的原件無法直接驅(qū)動(dòng),這時(shí)就需要使用三極管進(jìn)行電流放大,三極管

27、分為 npn 和 pnp 兩種。如圖 3-10 所示,其中 c 端為集電極,e 端為發(fā)射極,b 端為基極。npn 三極管電流從發(fā)射極流出的三極管。所以發(fā)射極會(huì)直接或間接的接地,而集電極會(huì)接 vcc。pnp 三極管正好相反,電流從發(fā)射極流入的三極管。接法也是相反的,發(fā)射極接 vcc,集電極接地。圖 3-10 三極管結(jié)構(gòu)圖本設(shè)計(jì)使用的是 4 位數(shù)碼管,其中第一位顯示煙霧濃度,第二位為分隔符顯示“-” ,最后兩位顯示溫度。具體接法為共陽極接法,因?yàn)閱纹瑱C(jī)輸出電流比較小,所以要用三極管來進(jìn)行電流的放大,本設(shè)計(jì)用了 4 個(gè) pnp 型的三極管來驅(qū)動(dòng)數(shù)碼管顯示器。在仿真中數(shù)碼管的 1234 引腳接的是三極

28、管放大電路,功能是位選擇。而具體的亮那一條二極管組成什么數(shù)字則由 abcdefg 引腳控制,dp 引腳為小數(shù)點(diǎn),在本設(shè)計(jì)沒有用。具體結(jié)構(gòu)仿真如圖 3-11。3-11 數(shù)碼管結(jié)構(gòu)圖3.6 報(bào)警器 本設(shè)計(jì)的報(bào)警系統(tǒng)使用的是蜂鳴器,蜂鳴器的工作原理很簡(jiǎn)單,當(dāng)電流通過電磁線圈時(shí)會(huì)產(chǎn)生磁場(chǎng),這個(gè)磁場(chǎng)會(huì)使鼓膜震動(dòng)從而發(fā)聲。和數(shù)碼管顯示器一樣單片機(jī)輸出的電流太小,無法直接連接蜂鳴器,因此需要一個(gè)電流放大的電路。所以在設(shè)計(jì)時(shí)加上了一個(gè) npn 三極管控制蜂鳴器,將蜂鳴器正極接電源,負(fù)極接的集電極,三極管的發(fā)射極接地。具體結(jié)構(gòu)仿真如圖 3-12。圖 3-12 蜂鳴器4 軟件設(shè)計(jì)本設(shè)計(jì)程序很長(zhǎng),是整個(gè)系統(tǒng)最難設(shè)計(jì)

29、的部分。在設(shè)計(jì)時(shí)應(yīng)當(dāng)分成幾個(gè)部分來進(jìn)行,進(jìn)行模塊化的程序設(shè)計(jì)。我主要分了以下幾個(gè)部分來進(jìn)行:溫度讀取和部分,溫度顯示部分,a/d 轉(zhuǎn)換部分,煙霧讀取顯示部分,報(bào)警部分,按鍵設(shè)置部分等。4.1 主程序流程圖初始化開始傳感器預(yù)熱并故障預(yù)檢按鍵掃描和鍵值處理是否按下設(shè)置鍵進(jìn)入報(bào)警設(shè)置模式a/d 轉(zhuǎn)換圖 4-1 主程序流程圖4.2 keil本設(shè)計(jì)使用的軟件設(shè)計(jì)工具為 keil,這款軟件可以根據(jù)寫好的程序產(chǎn)生 c 文件和 hex 文件,可以在51 系列單片機(jī)上進(jìn)行燒寫。圖 4-2 keil 界面圖數(shù)據(jù)處理是否超過報(bào)警上限進(jìn)入報(bào)警處理程序濃度和溫度顯示程序程序編寫完畢后生成 hex 文件,將這個(gè)文件通過燒

30、寫器燒進(jìn)單片機(jī)中即可。在對(duì)單片機(jī)進(jìn)行燒寫前最好將程序在 protues 仿真中進(jìn)行仿真,修改不足和錯(cuò)誤的部分,當(dāng)在仿真中可以無誤的運(yùn)行后再進(jìn)行正式燒寫。這樣可以避免一些對(duì)單片機(jī)或者整個(gè)系統(tǒng)的損害,而且 at89s52 需要專門的單片機(jī)燒寫器和燒寫軟件,每次燒寫都比較繁瑣。keil 可以和 protues 進(jìn)行聯(lián)調(diào),這樣可以更方便的調(diào)試程序。5 實(shí)物制作展示5.1 實(shí)物制作注意事項(xiàng)在仿真測(cè)試無誤后,就應(yīng)當(dāng)開始制作實(shí)物。制作實(shí)物最難的就是焊接電路,剛剛接觸焊接應(yīng)當(dāng)上網(wǎng)找一些視頻,學(xué)習(xí)視頻中焊接的姿勢(shì)、注意事項(xiàng)、流程,然后找?guī)讐K舊的板子試著練習(xí),直到可以很熟練的焊接。焊接時(shí)電烙鐵應(yīng)當(dāng)成水平60角。這

31、樣便于熔化的錫流到焊點(diǎn)上。烙鐵頭在焊點(diǎn)處停留的時(shí)間控制在23秒鐘,過多的話會(huì)和附近的線路焊在一起造成短路,太少又會(huì)造成虛焊產(chǎn)生斷路。特別注意三極管的引腳,特別是 pnp 和 npn 三極管接法不一樣很容易焊錯(cuò),而且溫度傳感器 ds18b20和三極管的外觀一模一樣,要仔細(xì)分辨。還要注意焊接時(shí)線不小心連在一起或者虛焊引起的短路和斷路的問題,出現(xiàn)問題后用萬用表仔細(xì)排查電路。5.2實(shí)物效果圖(1)正常狀態(tài)下,沒有檢測(cè)到煙霧或可燃?xì)怏w,數(shù)碼管第一位顯示煙霧濃度,顯示“0” ,最后兩位顯示溫度。但是由于煙霧傳感器需要預(yù)熱才能正常工作,所以當(dāng)剛剛通電時(shí)煙霧濃度可能會(huì)錯(cuò)誤顯示為“1” 。過一段時(shí)間后,煙霧傳感

32、器預(yù)熱完畢后會(huì)正確顯示。左下角三個(gè)按鍵從左到右依次是設(shè)置鍵,減下鍵和增大鍵。左邊的鍵為手動(dòng)報(bào)警鍵,中間的按鍵為復(fù)位鍵。圖 5-1 正常狀態(tài)(2)打火機(jī)釋放出可燃?xì)怏w丁烷,煙霧傳感器檢測(cè)到后蜂鳴器報(bào)警,并顯示數(shù)值。圖 5-2 檢測(cè)到可燃?xì)怏w6 6 總結(jié)總結(jié)火災(zāi)報(bào)警器可以保障人們生產(chǎn)與生活的安全,可以及早發(fā)現(xiàn)火災(zāi)和預(yù)防易燃易爆氣體爆炸事故發(fā)生,因此其具有很好的實(shí)用性。本文設(shè)計(jì)的火災(zāi)自動(dòng)報(bào)警系統(tǒng)采用了溫度傳感器 ds18b20 和煙霧傳感器 mq-2 相結(jié)合的多傳感器探測(cè)方法,相比單一傳感器的火災(zāi)報(bào)警器系統(tǒng)靈敏度更高、在火災(zāi)發(fā)生的早期就能準(zhǔn)確的報(bào)警,具有系統(tǒng)安全可靠,誤報(bào)漏報(bào)率低,操作簡(jiǎn)單,成本低廉

33、等優(yōu)點(diǎn)。但同時(shí)也應(yīng)該看到正是因?yàn)槌杀締栴},這個(gè)系統(tǒng)其實(shí)并不完美,如果使用更好的傳感器可以做的更好。系統(tǒng)使用了 a/d 轉(zhuǎn)換芯片 adc0809 把煙霧傳感器收集的模擬信號(hào)轉(zhuǎn)換成數(shù)字信號(hào)并把這個(gè)信號(hào)送給 at89s52 單片機(jī)。單片機(jī)做作為系統(tǒng)的控制中樞,一方面要將收集來的溫度和煙霧濃度信息通過數(shù)碼管顯示器顯示,另一方面還要在數(shù)值超過設(shè)置的警戒數(shù)值時(shí)通過蜂鳴器報(bào)警。在系統(tǒng)中還加入了人工報(bào)警按鍵,可以在傳感器失效等情況下依然可以報(bào)警,系統(tǒng)中的復(fù)位鍵可以充當(dāng)報(bào)警停止按鍵。軟件設(shè)計(jì)方面使用了了模塊化分步化的程序設(shè)計(jì)方法,使得程序結(jié)構(gòu)清晰步驟明確,也便于在錯(cuò)誤時(shí)的修改。本設(shè)計(jì)在后期也可以添加一些其他模塊

34、,這樣就可以實(shí)現(xiàn)更多的功能。比如添加通信模塊,這樣就可以遠(yuǎn)距離將數(shù)據(jù)和報(bào)警傳輸?shù)焦芾韱T處:添加繼電器模塊,連接灑水裝置,可以實(shí)現(xiàn)自動(dòng)滅火。可見本設(shè)計(jì)還是不夠完善,可擴(kuò)展性的方面還是很多的。 參考文獻(xiàn)參考文獻(xiàn) 1李萍.at89s51 單片機(jī)原理、開發(fā)與應(yīng)用實(shí)例.中國(guó)電力出版社.2008.21-42. 2尚偉.at89 單片機(jī)原理及應(yīng)用.國(guó)防工業(yè)出版社.2008.251-25. 3劉海濤 趙金波 晁陽.80c51 單片機(jī) c 語言程序設(shè)計(jì)與實(shí)例解析.清華大學(xué)出版.社.2009.67-78 4蘭吉昌.51 單片機(jī)應(yīng)用設(shè)計(jì)百例.化學(xué)工業(yè)出版社.2009.212-213. 5潘曉寧 朱耀東.單片機(jī)程序設(shè)

35、計(jì)實(shí)踐教程.清華大學(xué)出版社.2009.103-108. 6趙寒星 劉小波.從 0 開始教你用單片機(jī).北京航空航天大學(xué)出版社.2009.152-172. 7陳明熒.8051 單片機(jī)課程設(shè)計(jì)實(shí)訓(xùn)教材.清華大學(xué)出版社.2004.20-50. 8于京 張景璐.51 系列單片機(jī) c 程序設(shè)計(jì)與應(yīng)用實(shí)例.中國(guó)電力出版社.2006.60-93. 9周堅(jiān).單片機(jī) c 語言輕松入門.北京航空航天大學(xué)出版社.2006.164-171. 10張齊 朱寧西.單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)技術(shù)-基于 c51 的 proteus 仿真.電子工業(yè)出版 社.2009.248-258. 12h. meixner,v. lampemetal

36、 oxide sensorssensors and actua-tors.1996.1-313j. mizseiresponse pattern of sno2 sensor system for a smoke of different originensors and actuators1994264267. 14 fan bingyen. home wireless intelligent burglar alarm systemm. security technology, 2003 15holt,mike.fire alarm signaling systems.electrical

37、 construction and maintenance,2003fire auto alarmtangzhannanjing university of information science&technology,nanjing 210044abstractto discover a fire as soon as possible to reduce the fire to property and loss of life. this paper presents a automatic fire alarm. in the temperature sensor ds18b2

38、0 senses abnormal temperature rise or smoke sensor mq-2 induced changes to the smoke, it will be handed over to mcu at89s52 collected data processing, data microcontroller will be judged, and if that happens a fire, the alarm will be issued alarms and displays temperature and smoke concentration. co

39、mpared to only a single sensor fire alarm system of the biggest advantages is the high reliability and greatly reduce the fire risk of misstatements and omissions. and mq-2 smoke sensors can not only detect smoke fire occurs, but also to detect carbon monoxide, hydrogen, liquefied petroleum gas, met

40、hane, propane and other flammable gases, when the fire has not yet occurred timely warning in prevention first place. the system has a simple structure, high sensitivity, anti-interference ability, stable performance and low cost advantages.key word:fire alarm;mcu;smoke sensor;temperature sensor謝辭謝辭

41、 經(jīng)過幾個(gè)月的忙碌的工作,去圖書館翻找資料,上網(wǎng)查找答案,跟著視頻學(xué)習(xí)硬件焊接,向同學(xué)老師請(qǐng)教編程,本次畢業(yè)設(shè)計(jì)終于完成了,作為大學(xué)四年的學(xué)習(xí)總結(jié),畢業(yè)論文無疑是非常重要的。但是由于經(jīng)驗(yàn)的匱乏,平時(shí)實(shí)際動(dòng)手機(jī)會(huì)比較少,導(dǎo)致理論上的知識(shí)轉(zhuǎn)換成實(shí)際時(shí)總會(huì)遇到各種困難,好多看似簡(jiǎn)單的地方實(shí)際做起來才知道復(fù)雜,有種“書到用時(shí)方知少”的感覺。從仿真到程序設(shè)計(jì),再到實(shí)際動(dòng)手焊接電路板,每一步都有許多超過預(yù)期的障礙。在跨越這些障礙時(shí),除了自身的努力,老師和同學(xué)的幫助也是不可缺少的,正是有了他們的幫助我才能順利完成這篇論文。在這里首先要感謝他和藹的語氣,深厚的學(xué)識(shí),嚴(yán)謹(jǐn)?shù)闹螌W(xué)態(tài)度,一絲不茍的作風(fēng)給我?guī)砹撕艽?/p>

42、的幫助,是我們學(xué)習(xí)的榜樣;然后要感謝大學(xué)期間所有教過我的老師, “九層之臺(tái),起于累土”沒有這些老師的教導(dǎo),就沒有這篇論文的基礎(chǔ)。同時(shí)還要感謝 2009 級(jí)電氣與自動(dòng)化所有的同學(xué)們,特別是和我是一個(gè)題目的同學(xué),大家在一起學(xué)習(xí)討論,互相幫助,沒有你們?cè)谏钪泻蛯W(xué)習(xí)上給我的支持和鼓勵(lì),我是不可能順利完成這次畢業(yè)設(shè)計(jì)的。附錄附錄一 proteus 仿真附錄二 源程序#include#include#includeds18b20.h#define uint unsigned int#define uchar unsigned char/宏定義#define set p1_0/定義調(diào)整鍵#define d

43、ec p1_1/定義減少鍵#define add p1_2/定義增加鍵#define beep p3_4/定義蜂鳴器#define hujiao p1_3sbit adcs=p37;sbit adclk=p35;sbit addi=p36;sbit addo=p36;bit shanshuo_st;/閃爍間隔標(biāo)志bit beep_st;/蜂鳴器間隔標(biāo)志bit flag=0;/緊急呼叫標(biāo)志sbit dian=p25;/小數(shù)點(diǎn)uint abc;uchar x=4;/計(jì)數(shù)器signed char m;/溫度值全局變量uchar n;/溫度值全局變量uchar set_st=0;/狀態(tài)標(biāo)志signed

44、 char shangxian=30;/上限報(bào)警溫度,默認(rèn)值為 38signed char xiaxian=5;/下限報(bào)警溫度,默認(rèn)值為 38uchar nongdu=4;uchar code leddata=0 x28,0 xeb,0 x32,0 xa2,0 xe1,0 xa4,0 x24,0 xea,0 x20,0 xa0;/*延時(shí)子程序*/void delay(uint num)while(-num);/*初始化定時(shí)器 0*/void inittimer(void)tmod=0 x1;th0=0 x4c;tl0=0 x00;/50ms(晶振 11.0592m)/*定時(shí)器 0 中斷服務(wù)程序

45、*/void timer0(void)interrupt 1th0=0 x4c;tl0=0 x00;x+;/*讀取溫度*/void check_wendu(void)uint a,b,c;c=readtemperature()-5;/獲取溫度值并減去 ds18b20 的溫漂誤差a=c/100;/計(jì)算得到十位數(shù)字b=c/10-a*10;/計(jì)算得到個(gè)位數(shù)字m=c/10;/計(jì)算得到整數(shù)位n=c-a*100-b*10;/計(jì)算得到小數(shù)位if(m99)m=99;n=9;/設(shè)置溫度顯示上限/*顯示開機(jī)初始化等待畫面*/disp_init()p2=0 xf7;/顯示-p0=0 xbf;delay(200);p

46、0=0 xef;delay(200);p0=0 xfb;delay(200);p0=0 xfe;delay(200);p0=0 xff;/關(guān)閉顯示/*顯示溫度子程序*/disp_temperature()/顯示溫度p2=leddatam%10;/顯示 cp0=0 xbf;delay(300);p2=leddatam/10;/顯示個(gè)位p0=0 xef;delay(300);p2=0 xf7;/顯示十位p0=0 xfb;delay(300);p2=leddataabc;/顯示百位p0=0 xfe;delay(300);p0=0 xff;/關(guān)閉顯示/*顯示報(bào)警溫度子程序*/disp_alarm(uc

47、har baojing)p2=0 x3c;/顯示 cp0=0 xbf;delay(200);p2=leddatabaojing%10;/顯示十位p0=0 xef;delay(200);p2=leddatabaojing/10;/顯示百位p0=0 xfb;delay(200);if(set_st=1)p2=0 x61;else if(set_st=2)p2=0 x3d;/上限 h、下限 l 標(biāo)示p0=0 xfe;delay(200);p0=0 xff;/關(guān)閉顯示disp_nongdu(uchar baojing)/煙霧濃度報(bào)警顯示p2=0 xff;p0=0 xbf;delay(200);p2=0

48、 xff;p0=0 xef;delay(200);p2=0 xff;p0=0 xfb;delay(200);p2=leddatabaojing;/顯示濃度p0=0 xfe;delay(200);p0=0 xff;/關(guān)閉顯示/*報(bào)警子程序*/void alarm()if(m=shangxian&beep_st=1)|(m=nongdu&beep_st=1)beep=1;else beep=0;/關(guān)閉蜂鳴器if(x=10)beep_st=beep_st;x=0;void alarm1()if(x=10)beep_st=beep_st;x=0;if(beep_st=1)beep=1;

49、else beep=0;/*ad 轉(zhuǎn)換子程序*/uchar adc0832(bit mode,bit channel)/ad 轉(zhuǎn)換,返回結(jié)果uchar i,dat,ndat;adcs=0;/拉低 cs 端_nop_();_nop_();addi=1;/第 1 個(gè)下降沿為高電平adclk=1;/拉高 clk 端_nop_();_nop_();adclk=0;/拉低 clk 端,形成下降沿 1_nop_();_nop_();addi=mode; /低電平為差分模式,高電平為單通道模式。adclk=1;/拉高 clk 端_nop_();_nop_();adclk=0;/拉低 clk 端,形成下降沿

50、2_nop_();_nop_();addi=channel;/低電平為 ch0,高電平為 ch1adclk=1;/拉高 clk 端_nop_();_nop_();adclk=0;/拉低 clk 端,形成下降沿 3addi=1;/控制命令結(jié)束(經(jīng)試驗(yàn)必需)dat=0;/下面開始讀取轉(zhuǎn)換后的數(shù)據(jù),從最高位開始依次輸出(d7d0)for(i=0;i8;i+)dat=1;adclk=1;/拉高時(shí)鐘端_nop_();_nop_();adclk=0;/拉低時(shí)鐘端形成一次時(shí)鐘脈沖_nop_();_nop_();dat|=addo;ndat=0;/記錄 d0if(addo=1)ndat|=0 x80;/下面開

51、始繼續(xù)讀取反序的數(shù)據(jù)(從 d1 到 d7)for(i=0;i=1;adclk=1;/拉高時(shí)鐘端_nop_();_nop_();adclk=0;/拉低時(shí)鐘端形成一次時(shí)鐘脈沖_nop_();_nop_();if(addo=1)ndat|=0 x80;adcs=1;/拉高 cs 端,結(jié)束轉(zhuǎn)換adclk=0;/拉低 clk 端addi=1;/拉高數(shù)據(jù)端,回到初始狀態(tài)if(dat=ndat)return(dat);elsereturn 0;/*主函數(shù)*/void main(void)uint z;inittimer();/初始化定時(shí)器ea=1;/全局中斷開關(guān)tr0=1;et0=1;/開啟定時(shí)器 0bee

52、p=0;check_wendu();check_wendu();for(z=0;z3)set_st=0;if(set_st=0)abc=adc0832(1,0);/差分模式,ch0-ch1abc=abc*19.607843;/轉(zhuǎn)換為實(shí)際電壓便于顯示abc=abc/1000%10;check_wendu();disp_temperature();if(flag=1)alarm1();else alarm();/報(bào)警檢測(cè)else if(set_st=1)beep=0;/關(guān)閉蜂鳴器if(dec=0)delay(2000);dowhile(dec=0);shangxian-;if(shangxian9

53、9)shangxian=99;if(x=10)shanshuo_st=shanshuo_st;x=0;if(shanshuo_st)disp_alarm(shangxian);else if(set_st=2)beep=0;/關(guān)閉蜂鳴器if(dec=0)delay(2000);dowhile(dec=0);xiaxian-;if(xiaxianshangxian)xiaxian=shangxian;if(x=10)shanshuo_st=shanshuo_st;x=0;if(shanshuo_st)disp_alarm(xiaxian);else if(set_st=3)beep=0;/關(guān)閉蜂

54、鳴器if(dec=0)delay(2000);dowhile(dec=0);if(nongdu0)nongdu-;if(nongdu5)nongdu=5;if(x=10)shanshuo_st=shanshuo_st;x=0;if(shanshuo_st)disp_nongdu(nongdu);/*end*/employment tribunals sort out disagreements between employers and employees.you may need to make a claim to an employment tribunal if:you dont ag

55、ree with the disciplinary action your employer has taken against youyour employer dismisses you and you think that you have been dismissed unfairly.for more information about dismissal and unfair dismissal, see dismissal.you can make a claim to an employment tribunal, even if you havent appealed aga

56、inst the disciplinary action your employer has taken against you. however, if you win your case, the tribunal may reduce any compensation awarded to you as a result of your failure to appeal.remember that in most cases you must make an application to an employment tribunal within three months of the

57、 date when the event you are complaining about happened. if your application is received after this time limit, the tribunal will not usually accept it.if you are worried about how the time limits apply to you, take advice from one of the organisations listed under further help.employment tribunals

58、are less formal than some other courts, but it is still a legal process and you will need to give evidence under an oath or affirmation.most people find making a claim to an employment tribunal challenging. if you are thinking about making a claim to an employment tribunal, you should get help strai

59、ght away from one of the organisations listed under further help.if you are being represented by a solicitor at the tribunal, they may ask you to sign an agreement where you pay their fee out of your compensation if you win the case. this is known as a damages-based agreement. in england and wales,

60、your solicitor cant charge you more than 35% of your compensation if you win the case.if you are thinking about signing up for a damages-based agreement, you should make sure youre clear about the terms of the agreement. it might be best to get advice from an experienced adviser, for example, at a citizens ad

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論