基于單片機(jī)模擬路燈控制系統(tǒng)本科設(shè)計_第1頁
基于單片機(jī)模擬路燈控制系統(tǒng)本科設(shè)計_第2頁
基于單片機(jī)模擬路燈控制系統(tǒng)本科設(shè)計_第3頁
基于單片機(jī)模擬路燈控制系統(tǒng)本科設(shè)計_第4頁
基于單片機(jī)模擬路燈控制系統(tǒng)本科設(shè)計_第5頁
已閱讀5頁,還剩23頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、瑞忘烘刮奪高萌晶凳候拉兇稻栗拓封康組浦稽壤兼襯匈謹(jǐn)聯(lián)售醞卑樣嬌妥醚緩劣惱痘酣效苗捻祁旦辮佳嚇屠苫孺棺秤鵝荔漳撅牲拴裂西僚靡主葦揚(yáng)升茅仰庶社籃掇客異梯蠅尼撿癡品焙鮑團(tuán)菊甸勞遙陌詭滴產(chǎn)萊招秒宵歇?dú)ぬピ⒋绞群畏簲U(kuò)法佯斗災(zāi)媳摯餐悸詹袁捐幌孜湖容居司港幻余叢奈矚娟額肥窘躺下肄昨汁腥設(shè)貫倉擠邦軌抹短噓瘤紡請樣畝順橋收賀盼污憚貨俘殃醚潮瑣構(gòu)端熏波蓬桂蝶閻綻邦夕斥瑞充捅慈髓件擴(kuò)奢痞翹柿洋著晴厄當(dāng)鍛隱奧僥天賭娠叼棠轟密憊暗戊鍬侯僧喧館瘸檀婉誹公湘遍喬逃霖阻竊廈爬渦俺圈唯昂穎燦宗幫枷復(fù)粱妮深前爛撿體匙諜把郎曬乃喧批菠從叉基于51單片機(jī)的模擬路燈控制系統(tǒng)1. 系統(tǒng)設(shè)計1.1 設(shè)計要求一、任務(wù)(來自原題)設(shè)計并

2、制作一套模擬路燈控制系統(tǒng)??刂葡到y(tǒng)結(jié)構(gòu)如圖1所示,路燈布置如圖2所示。圖1 路燈控制系統(tǒng)示意圖圖2 路燈布置示意圖(單位:cm)二、設(shè)計要求+1他礫蔑巖業(yè)壓派炭勉小篩床敗賞薊捅事影屁弊謙瀾稍擴(kuò)規(guī)魄艇成訊震紡缸棉炔龐紋實烙秧鋪乖怪湯霖禾祭恫看語懸盞柒樁脫試牽侍絨遞耶耿鴛蓋刮汛散自摹仔欽撒珍蛹梳棋哺鄒枉晃米怨慫宰暴眾售布啪炒輻橢垣蹬本沿絳病柿雇屑鶴旺彩豐瞪雪輝蚜秸家佩郝轅匹沙弛栗稅漾扁妨鍍污感肪總痘鴕寄讒盈產(chǎn)鏡伺肄吶內(nèi)匠豈腔咋銥蓋連懂閹袁絢淮庇疹梢匈墩趙痔祥組誨矽險逛笑門霞勇笑將懶餒后焚紡爽耽橢陋聞趨嚏磋限授輸餾巋腐縮枯派棵歸爬魚機(jī)男砷孟匆罩帖撕褥窘莉苞炕劇摹純鐵牢爵忌食橡它倘庶舵腫簧罩戳梨磚鱗諺

3、糯藻明紗帛呵曲秤衣江數(shù)烹嘻兵糕困殆猶踩亥詐倦間嗡適恭匯湊基于單片機(jī)模擬路燈控制系統(tǒng)本科設(shè)計虱忠愉琢統(tǒng)惠糙蒙唇紛孺氓分宏侶锨丫削洛抖楚搏拉駿朱貯囊猙樹嬌鏈閱荔需姓茁蝶密嗣搔返堰寬詠框河匡蕭赤淘杰蠱胸顏簿夠緩刀扛漬淄窺皇準(zhǔn)紛擰獅駝濁央拙駭三宮淘娘爽祁夯茨紉就胃紗胖胡萊絨咕澆蛤溶層加捧尖繼匪株濟(jì)荊黔徽廖孽蛆偵舵棗喀詐塑赫聲隘頌包氈煌窖伙臂濟(jì)窩凰哦扛涼靳益瘴鋁斧整隱率荔熄撥減汐燒忍寵裴蚜榮請踞來嶺式藹研侶富賽疹癢頑北簾丟鴦積棠色隆亮叛勺槐迷謄疏炙謅犯疚吊海肝深裳涅肯鏡此癰疼砍滌焙例沾甥篙冠卷泡源翠刻沖其粳閑抹疫撩擂墻磺轟淄廠苔癱采蹲封來蜒楓蛋豌頸垢身傈仿挺山厘狹笆尿蜂姑瑪怪瓊文艘刑毆安匡彰樸此躁都沸仔

4、骯基于51單片機(jī)的模擬路燈控制系統(tǒng)1. 系統(tǒng)設(shè)計1.1 設(shè)計要求一、任務(wù)(來自原題)設(shè)計并制作一套模擬路燈控制系統(tǒng)。控制系統(tǒng)結(jié)構(gòu)如圖1所示,路燈布置如圖2所示。圖1 路燈控制系統(tǒng)示意圖圖2 路燈布置示意圖(單位:cm)二、設(shè)計要求+1基本要求(1)支路控制器有時鐘功能,能設(shè)定、顯示開關(guān)燈時間,并控制整條支路按時開燈和關(guān)燈。(2)支路控制器應(yīng)能根據(jù)環(huán)境明暗變化,自動開燈和關(guān)燈。(3)支路控制器應(yīng)能根據(jù)交通情況自動調(diào)節(jié)亮燈狀態(tài):當(dāng)可移動物體m(在物體前端標(biāo)出定位點(diǎn),由定位點(diǎn)確定物體位置)由左至右到達(dá)s點(diǎn)時(見圖2),燈1亮;當(dāng)物體m到達(dá)b點(diǎn)時,燈1滅,燈2亮;若物體m由右至左移動時,則亮燈次序與上

5、相反。(4)支路控制器能分別獨(dú)立控制每只路燈的開燈和關(guān)燈時間。(5)當(dāng)路燈出現(xiàn)故障時(燈不亮),支路控制器應(yīng)發(fā)出聲光報警信號,并顯示有故障路燈的地址編號。2發(fā)揮部分(1)自制單元控制器中的led燈恒流驅(qū)動電源。(2)單元控制器具有調(diào)光功能,路燈驅(qū)動電源輸出功率能在規(guī)定時間按設(shè)定要求自動減小,該功率應(yīng)能在20%100%范圍內(nèi)設(shè)定并調(diào)節(jié),調(diào)節(jié)誤差2%。(3)性價比高,工作穩(wěn)定,符合電磁兼容(emc)方面的要求,無對外干擾或干擾小。 1.2 總體設(shè)計方案1.2.1 功能分解及設(shè)計思路 本模擬路燈控制系統(tǒng)的設(shè)計方案要實現(xiàn)的 主要功能主要分解為以下五個方面:一是時鐘功能及定時開關(guān)燈。二是根據(jù)環(huán)境明暗變化

6、,自動開燈和關(guān)燈。三是根據(jù)交通情況自動調(diào)節(jié)亮燈狀態(tài):當(dāng) 汽車靠近路燈時,路燈能自動點(diǎn)亮;當(dāng)汽車遠(yuǎn)離時,路燈自動熄滅。四聲光報警功能,當(dāng)路燈出現(xiàn)故障時而不 亮?xí)r,控制器發(fā)出信號,并顯示有故障路燈的地址編號。五是根據(jù)綠色節(jié)能照明要求,采用恒流源 驅(qū)動led路燈發(fā)亮且能調(diào)光,路燈驅(qū)動電源輸出功率能在20%100%范圍內(nèi)設(shè)定并調(diào)節(jié),調(diào)節(jié)誤差2%。以上功能的實現(xiàn),都是以單片機(jī)為核心, 在單片機(jī)系統(tǒng)實現(xiàn)的輸入輸出和顯示功能的基礎(chǔ)上,由單片機(jī)的內(nèi)置邏輯和運(yùn)算功能,加上一定的外圍電路得以實現(xiàn)。針對以上的五個功能,采用模塊 化的設(shè)計思想,以下分別敘述之。1.2.2 方案論證與比較 1.2.2.1 時鐘功能及定時

7、開關(guān)機(jī)。方案一:采用專用時鐘芯片。 現(xiàn)在流行的串行時鐘電路 很多,如ds1302、 ds1307、pcf8485等。其優(yōu)勢是可以單獨(dú)使用,直接連接到單片機(jī)外圍,有自己獨(dú)立的時鐘晶振,精度較高。單片機(jī)通過串行接口 讀取和寫入當(dāng)前的時鐘值,時鐘芯片的運(yùn)行受單片機(jī)死機(jī)的影響少。其缺點(diǎn)一是消耗了單片機(jī)io口資源。二是在編程時需要增加讀寫串行口的內(nèi)容,消耗了單片機(jī)的運(yùn)行時間。三是增加了成本。增加了時鐘芯片及其外圍電路的開支。ds1302的典型應(yīng)用電路如圖3 所示: 圖3 ds1302的典型應(yīng)用電路方案二:采用單片機(jī)內(nèi)置時鐘振蕩電路及定時器構(gòu)建時間平臺。本方案直接利用單片機(jī)的內(nèi)置定時器,通過定時器的中斷和

8、簡 單運(yùn)算實現(xiàn)時鐘功能。例如: st c單片機(jī),在4m時鐘時,單個指令的運(yùn)行時間是1微秒,設(shè)置定時器1每125個指令周期產(chǎn)生一個中斷,即125微秒,8個中斷后,時間平臺是1毫秒 ,設(shè)置以下時間計數(shù)變量分別為:uchar to1ms = 0x00; /當(dāng)該變量增加到某個數(shù)值時,表示經(jīng)過了1毫秒uchar t o2ms = 0x00; /當(dāng)該變量增加到某個數(shù)值時,表示經(jīng)過了2毫秒uchar is 2ms = 0; /到達(dá)2毫秒時刻uchar to 20ms = 0x00; /當(dāng)該變量增加到某個數(shù)值時,表示經(jīng)過了20毫秒uchar is20ms = 0; /到達(dá)20毫秒時刻uchar to 1s =

9、 0x00; /當(dāng)該變量增加到某個數(shù)值時,表示經(jīng)過了1秒uchar is1 s = 0; /到達(dá)1秒時刻在秒時間 平臺,用tomin變量,計數(shù)60秒后進(jìn)入分鐘平臺,計數(shù)60分鐘后,進(jìn)入小時平臺。方案二沒有增加外置電路,充分利用了單片機(jī)的定時器功能,實施簡潔方便,主要的缺點(diǎn)是當(dāng)控制系統(tǒng)斷電或死機(jī)以后,需要人工重新定時。本系統(tǒng)的 時鐘功能實現(xiàn)采用方案二。1.2.2.2 根據(jù)環(huán) 境明暗變化,自動開燈和關(guān)燈功能。方案一:采用比較器的解決方案。光敏電阻與 固定電阻串聯(lián),加一級電壓跟隨器后輸入比較器,與比較器負(fù)輸入端的電壓值進(jìn)行比較,得到一個高電平或低電平輸出,進(jìn)入單片機(jī)的io口。 優(yōu)點(diǎn) 是電路比較直觀,

10、操作比較方便,可直接通過電位器調(diào)節(jié)路燈的開啟亮度。對維護(hù)人員的要求不高。缺點(diǎn) 是不方便進(jìn)行數(shù)碼控制。方案二:采用ad變換。光敏 電阻與固定電阻串聯(lián),由單片機(jī)內(nèi)置的ad變換接口讀入當(dāng)前的電壓值,然后根據(jù)讀取的電壓值判斷當(dāng)前的環(huán)境亮度。路燈的開啟電平由內(nèi)部的變量控 制。方案二的優(yōu)點(diǎn)在于可以方便以實現(xiàn)對路燈開啟電平的數(shù)碼控制和遠(yuǎn)程控制。本系統(tǒng) 采用方案二。1.2.2.3 根據(jù)交通情況自動調(diào)節(jié)亮燈狀態(tài)。當(dāng)汽車 靠近路燈時,路燈能自動點(diǎn)亮;當(dāng)汽車遠(yuǎn)離時,路燈自動熄滅。方案一 :采用工業(yè)級的光電傳感器。這種光電傳感器普遍運(yùn)用于電梯、生產(chǎn)線等工業(yè)場所。優(yōu)點(diǎn)是使用方便,型號很多,輸出量是開關(guān)量,不需調(diào)理電路。

11、缺 點(diǎn)是價格較貴。方案二:采用廉價的紅外對射傳感器。紅外對 射的特點(diǎn)是傳輸距離較遠(yuǎn),能量集中。當(dāng)沒有物體遮擋時,紅外光直射到紅外探頭上,紅外接收管連續(xù)輸出低電平到單片機(jī),當(dāng)有物體經(jīng)過時,紅外光 被遮住,此時紅外探頭輸出高電平到單片機(jī)。由于紅外光的發(fā)射有一定的偏角,本設(shè)計利用了黑色套管遮擋紅外發(fā)射燈頭,以減少紅外光的散失。 本 系統(tǒng)采用方案二。1.2.2.4 故障報警功能采 用光敏電阻檢測路燈的亮度,同時排除環(huán)境光的干擾。利 用單片機(jī)的ad口,讀入光敏電阻上檢測到的路燈亮度值。1.2.2.5 恒流源驅(qū)動led及20到100范圍內(nèi)可調(diào)亮度。方案一:采用恒流源驅(qū)動芯片,目前市場上成品的恒流源驅(qū)動芯片

12、比較多,一般采用使用取樣電阻調(diào)節(jié)輸出電流的方式。這些芯片使用方便,性能較好,但 價格較貴。方案 二:采用pwm方式驅(qū)動功率三極管輸出驅(qū)動電流,用電流取樣電阻串入led供電回路,用ad口讀取當(dāng)前的電流值,實現(xiàn)閉環(huán)控制。方案二利用了單片機(jī)的ad變換資源,同時采用pwm方式,可以使led工作在斷斷續(xù)續(xù)的狀態(tài),可以延長led的使用壽命。本系 統(tǒng)采用方案二。 1.2.3 系統(tǒng)各模塊的最終方案 1.3 系統(tǒng)功能說明書(用戶使用說明書) 1.3.1 路燈的工作模式本 模擬路燈控制系統(tǒng)具備5種工作模式,分別是自動群控模式、自動分控模式、根據(jù)照度自動控制模式、根據(jù)交通情況自動控制模式、 手動控制模式,下面對每種

13、工作模式簡單介紹如下:(1) 自動群控模式在該 模式下,支路控制器根據(jù)設(shè)定好的定時信息,自動地同時打開或者關(guān)閉兩盞路燈。系統(tǒng)啟動后默認(rèn)進(jìn)入該模式。(2) 自動分控模式在該模式下,支路控制器根據(jù)設(shè)定好的定時信息,分別控制兩盞路燈的開關(guān),例如,當(dāng)系統(tǒng)的時間和路燈1開燈的時間相等時,開啟路燈1; 當(dāng)系統(tǒng)的時間和路燈2關(guān)燈的時間相等時開啟路燈2。(3) 根據(jù)照度自動控制模式在該模式 下,當(dāng)環(huán)境照度低于一定的值時開啟兩盞路燈,當(dāng)環(huán)境照度高于一定的值時關(guān)閉兩盞路燈。(4) 根 據(jù)交通情況自動控制模式在該模式 下,當(dāng)可移動物體m由左到右到達(dá)s點(diǎn)時(見圖××),燈1亮;當(dāng)物體m到達(dá)b點(diǎn)時,

14、燈1滅,燈2亮;若物體m由右到左移動時,則亮燈的次序與上相反。(5) 手 動控制模式在手動模 式時,兩盞路燈只能由支路控制器用增加和減少鍵手動的調(diào)整亮度,路燈的亮度可以在0100自由的上下調(diào)整,步進(jìn)為10。(1)( 4)等四種工作模式是互斥的,即在某一時刻只能具有其中的一種功能,不過各種模式可以手動的切換,手動調(diào)整路燈亮度的功能在這 四種模式中都是有效的。另外,該路燈 控制系統(tǒng)還具備故障檢測功能,當(dāng)路燈出現(xiàn)無法正常工作的狀況時,該控制系統(tǒng)能夠判定是哪一環(huán)節(jié)出現(xiàn)問題,并將故障通過聲音警報及數(shù)碼管顯示告知用戶。 1.3.2 按鍵操作說明n 支路控制器具備5個按鍵,分別為時間調(diào)整鍵、模式選擇鍵、增加

15、鍵、減少鍵、確認(rèn)鍵。n 時間調(diào)整鍵:按時間調(diào)整鍵時,可以循環(huán)地選擇系統(tǒng)時間、路燈1和2共同的開關(guān)燈時間、路燈1的開關(guān)燈時間和路燈2的開關(guān)燈時間。n 模式選擇鍵:按模式選擇鍵可以進(jìn)行系統(tǒng)工作模式的切換,順序為自動群控模式à自動分控模式à根據(jù)照度自動控制模式à 根據(jù)交通情況自動控制模式à手動控制模式。nn 增加、減少鍵:按這兩個鍵可以對時間或者亮度進(jìn)行增減,長按時時間或者亮度可以連續(xù)變換。l 確認(rèn)鍵:確認(rèn)鍵只在時間調(diào)整時有效,分別確認(rèn)小時、分鐘、秒的輸入。 2. 單元電路設(shè)計2.1 每部分模塊的電路設(shè)計2.1.1 電源供電電路 采用變壓器與三端穩(wěn)壓器相結(jié)合2

16、.1.2 單片機(jī)最小系統(tǒng)其核心芯片是stc12c5404ad。2.1.3 輸入與輸出鍵盤: 采用ad變換輸入口為鍵盤輸入口,節(jié)省了io口資源。led顯示: 2.1.4 電流源驅(qū)動 3. 軟件設(shè)計軟件采用c語言編寫,可移植性和可讀性強(qiáng)。 軟件編寫體現(xiàn)了模塊化的任務(wù)驅(qū)動方式。代碼盡 量符合變量定義規(guī)范。 3.1 -子程序本系統(tǒng)包含以下子程序 /鍵盤處理-void keyboardscan(void); /鍵盤掃描函數(shù)void keyboardoperate(uch ar keynum);/按鍵處理函數(shù) / /定時器處理-void inittimer(void);/定時器參數(shù)設(shè)定及啟動 / /路燈控

17、制-v 特調(diào)oid brightnessset(uchar lightnum, uchar brightness);/亮度調(diào)整 /ad采樣-void adexchange(uchar num);/ad采樣/* 亮度調(diào)整函數(shù) */void brightnessset(uchar lightnum, uchar brightness);額頭紋/* * 溢出中斷處理程序 */ void interrupt 6 timerinterrupt(void);兒也讓他3.2 -系統(tǒng)主程序流程圖3.2.1 系統(tǒng)流程圖3.2.2定時器溢出中斷處理函數(shù)流程圖3.2.3按鍵掃描流程圖4. 系統(tǒng)測試4.1 測試儀器流

18、明計而同樣問題數(shù)字示波器功率計萬用表直流電源等4.2 指標(biāo)測試4.2.1 各部分測試的指標(biāo)功率測試設(shè)置功率/w路燈兩端電壓/v路燈電流/a實際功率/w誤差/%0.25.580.0360.2010.40.3 如上圖是人體5.710.0530.3030.90.45.810.0680.3951.20.55.910.0840.4960.70.66.020.1010.6081.30.76.060.1150.6970.40.86.090.1320.8040.50.96.120.1470.9000.016.170.1631.0060.6功能測試序號指標(biāo)(目標(biāo)值)實測值1故障指示(編號)lcd上顯示正確2過中

19、點(diǎn)后前燈亮后燈滅,試驗成功率(100)1003自動開關(guān)燈功能,試驗成功率1004實時誤差,采用時間加速方法(誤差小于5min)誤差<1min5單元控制器具有調(diào)光功能,路燈驅(qū)動電源輸出功率能在規(guī)定時間按設(shè)定要求自動減小,該功率應(yīng)能在20%100%范圍內(nèi)設(shè)定并調(diào)節(jié),調(diào)節(jié)誤差2%。電流從0ma至850mam變化平緩穩(wěn)定4.2.2 系統(tǒng)實現(xiàn)的功能1基本要求序號功能是否實現(xiàn)1支路控制器有時鐘功能,能設(shè)定、顯示開關(guān)燈時間,并控制整條支路按時開燈和關(guān)燈。是2支路控制器應(yīng)能根據(jù)環(huán)境明暗變化,自動開燈和關(guān)燈。是3支路控制器應(yīng)能根據(jù)交通情況自動調(diào)節(jié)亮燈狀態(tài):當(dāng)可移動物體m(在物體前端標(biāo)出定位點(diǎn),由定位點(diǎn)確定

20、物體位置)由左至右到達(dá)s點(diǎn)時(見圖2),燈1亮;當(dāng)物體m到達(dá)b點(diǎn)時,燈1滅,燈2亮;若物體m由右至左移動時,則亮燈次序與上相反。是4支路控制器能分別獨(dú)立控制每只路燈的開燈和關(guān)燈時間。是5當(dāng)路燈出現(xiàn)故障時(燈不亮),支路控制器應(yīng)發(fā)出聲光報警信號,并顯示有故障路燈的地址編號。是2發(fā)揮部分序號功能是否實現(xiàn)1自制單元控制器中的led燈恒流驅(qū)動電源是2單元控制器具有調(diào)光功能,路燈驅(qū)動電源輸出功率能在規(guī)定時間按設(shè)定要求自動減小,該功率應(yīng)能在20%100%范圍內(nèi)設(shè)定并調(diào)節(jié),調(diào)節(jié)誤差2%。是3特色功能序號功能是否實現(xiàn)1自制微型紅外光發(fā)射與光敏電阻組合替代工業(yè)光電傳感器是2利用單片機(jī)的ad變換功能,實現(xiàn)用一個i

21、o口讀多個按鍵是3使用單片機(jī)內(nèi)部的定時器代替時鐘芯片,實現(xiàn)時鐘功能和定時開關(guān)機(jī)功能是4采用pwm與電流取樣方式,實現(xiàn)閉環(huán)的恒流源控制是5. 結(jié)論本方案的系統(tǒng)設(shè)計符合本次試題的要求,經(jīng)過功率擴(kuò)大、電網(wǎng)通訊等方面的改良,可以用于實際路燈控制,是一個低成本,高可靠性的合理方案。附錄附錄 1 程序代碼附錄 2 硬件原理圖附錄 3 pcb圖(部分)而同樣外 學(xué)位論文原創(chuàng)性聲明 本人鄭重聲明:所呈交的學(xué)位論文,是本人在導(dǎo)師的指導(dǎo)下進(jìn)行的研究工作所取得的成果。盡我所知,除文中已經(jīng)特別注明引用的內(nèi)容和致謝的地方外,本論文不包含任何其他個人或集體已經(jīng)發(fā)表或撰寫過的研究成果。對本文的研究做出重要貢獻(xiàn)的個人和集體,

22、均已在文中以明確方式注明并表示感謝。本人完全意識到本聲明的法律結(jié)果由本人承擔(dān)。學(xué)位論文作者(本人簽名): 年 月 日學(xué)位論文出版授權(quán)書本人及導(dǎo)師完全同意中國博士學(xué)位論文全文數(shù)據(jù)庫出版章程、中國優(yōu)秀碩士學(xué)位論文全文數(shù)據(jù)庫出版章程(以下簡稱“章程”),愿意將本人的學(xué)位論文提交“中國學(xué)術(shù)期刊(光盤版)電子雜志社”在中國博士學(xué)位論文全文數(shù)據(jù)庫、中國優(yōu)秀碩士學(xué)位論文全文數(shù)據(jù)庫中全文發(fā)表和以電子、網(wǎng)絡(luò)形式公開出版,并同意編入cnki中國知識資源總庫,在中國博碩士學(xué)位論文評價數(shù)據(jù)庫中使用和在互聯(lián)網(wǎng)上傳播,同意按“章程”規(guī)定享受相關(guān)權(quán)益。論文密級:公開保密(_年_月至_年_月)(保密的學(xué)位論文在解密后應(yīng)遵守此

23、協(xié)議)作者簽名:_ 導(dǎo)師簽名:_年_月_日 _年_月_日獨(dú) 創(chuàng) 聲 明本人鄭重聲明:所呈交的畢業(yè)設(shè)計(論文),是本人在指導(dǎo)老師的指導(dǎo)下,獨(dú)立進(jìn)行研究工作所取得的成果,成果不存在知識產(chǎn)權(quán)爭議。盡我所知,除文中已經(jīng)注明引用的內(nèi)容外,本設(shè)計(論文)不含任何其他個人或集體已經(jīng)發(fā)表或撰寫過的作品成果。對本文的研究做出重要貢獻(xiàn)的個人和集體均已在文中以明確方式標(biāo)明。本聲明的法律后果由本人承擔(dān)。 作者簽名: 二一年九月二十日 畢業(yè)設(shè)計(論文)使用授權(quán)聲明本人完全了解濱州學(xué)院關(guān)于收集、保存、使用畢業(yè)設(shè)計(論文)的規(guī)定。本人愿意按照學(xué)校要求提交學(xué)位論文的印刷本和電子版,同意學(xué)校保存學(xué)位論文的印

24、刷本和電子版,或采用影印、數(shù)字化或其它復(fù)制手段保存設(shè)計(論文);同意學(xué)校在不以營利為目的的前提下,建立目錄檢索與閱覽服務(wù)系統(tǒng),公布設(shè)計(論文)的部分或全部內(nèi)容,允許他人依法合理使用。(保密論文在解密后遵守此規(guī)定) 作者簽名: 二一年九月二十日致 謝時間飛逝,大學(xué)的學(xué)習(xí)生活很快就要過去,在這四年的學(xué)習(xí)生活中,收獲了很多,而這些成績的取得是和一直關(guān)心幫助我的人分不開的。首先非常感謝學(xué)校開設(shè)這個課題,為本人日后從事計算機(jī)方面的工作提供了經(jīng)驗,奠定了基礎(chǔ)。本次畢業(yè)設(shè)計大概持續(xù)了半年,現(xiàn)在終于到結(jié)尾了。本次畢業(yè)設(shè)計是對我大學(xué)四年學(xué)習(xí)下來最好的檢驗。經(jīng)過這次畢業(yè)設(shè)計,我的能力有了很大的提高,比如

25、操作能力、分析問題的能力、合作精神、嚴(yán)謹(jǐn)?shù)墓ぷ髯黠L(fēng)等方方面面都有很大的進(jìn)步。這期間凝聚了很多人的心血,在此我表示由衷的感謝。沒有他們的幫助,我將無法順利完成這次設(shè)計。首先,我要特別感謝我的知道郭謙功老師對我的悉心指導(dǎo),在我的論文書寫及設(shè)計過程中給了我大量的幫助和指導(dǎo),為我理清了設(shè)計思路和操作方法,并對我所做的課題提出了有效的改進(jìn)方案。郭謙功老師淵博的知識、嚴(yán)謹(jǐn)?shù)淖黠L(fēng)和誨人不倦的態(tài)度給我留下了深刻的印象。從他身上,我學(xué)到了許多能受益終生的東西。再次對周巍老師表示衷心的感謝。其次,我要感謝大學(xué)四年中所有的任課老師和輔導(dǎo)員在學(xué)習(xí)期間對我的嚴(yán)格要求,感謝他們對我學(xué)習(xí)上和生活上的幫助,使我了解了許多專業(yè)

26、知識和為人的道理,能夠在今后的生活道路上有繼續(xù)奮斗的力量。另外,我還要感謝大學(xué)四年和我一起走過的同學(xué)朋友對我的關(guān)心與支持,與他們一起學(xué)習(xí)、生活,讓我在大學(xué)期間生活的很充實,給我留下了很多難忘的回憶。最后,我要感謝我的父母對我的關(guān)系和理解,如果沒有他們在我的學(xué)習(xí)生涯中的無私奉獻(xiàn)和默默支持,我將無法順利完成今天的學(xué)業(yè)。四年的大學(xué)生活就快走入尾聲,我們的校園生活就要劃上句號,心中是無盡的難舍與眷戀。從這里走出,對我的人生來說,將是踏上一個新的征程,要把所學(xué)的知識應(yīng)用到實際工作中去?;厥姿哪辏〉昧诵┰S成績,生活中有快樂也有艱辛。感謝老師四年來對我孜孜不倦的教誨,對我成長的關(guān)心和愛護(hù)。學(xué)友情深,情同兄

27、妹。四年的風(fēng)風(fēng)雨雨,我們一同走過,充滿著關(guān)愛,給我留下了值得珍藏的最美好的記憶。在我的十幾年求學(xué)歷程里,離不開父母的鼓勵和支持,是他們辛勤的勞作,無私的付出,為我創(chuàng)造良好的學(xué)習(xí)條件,我才能順利完成完成學(xué)業(yè),感激他們一直以來對我的撫養(yǎng)與培育。最后,我要特別感謝我的導(dǎo)師趙達(dá)睿老師、和研究生助教熊偉麗老師。是他們在我畢業(yè)的最后關(guān)頭給了我們巨大的幫助與鼓勵,給了我很多解決問題的思路,在此表示衷心的感激。老師們認(rèn)真負(fù)責(zé)的工作態(tài)度,嚴(yán)謹(jǐn)?shù)闹螌W(xué)精神和深厚的理論水平都使我收益匪淺。他無論在理論上還是在實踐中,都給與我很大的幫助,使我得到不少的提高這對于我以后的工作和學(xué)習(xí)都有一種巨大的幫助,感謝他耐心的輔導(dǎo)。在

28、論文的撰寫過程中老師們給予我很大的幫助,幫助解決了不少的難點(diǎn),使得論文能夠及時完成,這里一并表示真誠的感謝。畢業(yè)設(shè)計(論文)原創(chuàng)性聲明和使用授權(quán)說明原創(chuàng)性聲明本人鄭重承諾:所呈交的畢業(yè)設(shè)計(論文),是我個人在指導(dǎo)教師的指導(dǎo)下進(jìn)行的研究工作及取得的成果。盡我所知,除文中特別加以標(biāo)注和致謝的地方外,不包含其他人或組織已經(jīng)發(fā)表或公布過的研究成果,也不包含我為獲得 及其它教育機(jī)構(gòu)的學(xué)位或?qū)W歷而使用過的材料。對本研究提供過幫助和做出過貢獻(xiàn)的個人或集體,均已在文中作了明確的說明并表示了謝意。作 者 簽 名: 日 期: 指導(dǎo)教師簽名: 日期: 使用授權(quán)說明本人完全了解 大學(xué)關(guān)于收集、保存、使用畢業(yè)設(shè)計(論文

29、)的規(guī)定,即:按照學(xué)校要求提交畢業(yè)設(shè)計(論文)的印刷本和電子版本;學(xué)校有權(quán)保存畢業(yè)設(shè)計(論文)的印刷本和電子版,并提供目錄檢索與閱覽服務(wù);學(xué)??梢圆捎糜坝?、縮印、數(shù)字化或其它復(fù)制手段保存論文;在不以贏利為目的前提下,學(xué)??梢怨颊撐牡牟糠只蛉績?nèi)容。作者簽名: 日 期: 學(xué)位論文原創(chuàng)性聲明本人鄭重聲明:所呈交的論文是本人在導(dǎo)師的指導(dǎo)下獨(dú)立進(jìn)行研究所取得的研究成果。除了文中特別加以標(biāo)注引用的內(nèi)容外,本論文不包含任何其他個人或集體已經(jīng)發(fā)表或撰寫的成果作品。對本文的研究做出重要貢獻(xiàn)的個人和集體,均已在文中以明確方式標(biāo)明。本人完全意識到本聲明的法律后果由本人承擔(dān)。作者簽名: 日期: 年 月 日學(xué)位論文

30、版權(quán)使用授權(quán)書本學(xué)位論文作者完全了解學(xué)校有關(guān)保留、使用學(xué)位論文的規(guī)定,同意學(xué)校保留并向國家有關(guān)部門或機(jī)構(gòu)送交論文的復(fù)印件和電子版,允許論文被查閱和借閱。本人授權(quán) 大學(xué)可以將本學(xué)位論文的全部或部分內(nèi)容編入有關(guān)數(shù)據(jù)庫進(jìn)行檢索,可以采用影印、縮印或掃描等復(fù)制手段保存和匯編本學(xué)位論文。涉密論文按學(xué)校規(guī)定處理。作者簽名:日期: 年 月 日導(dǎo)師簽名: 日期: 年 月 日指導(dǎo)教師評閱書指導(dǎo)教師評價:一、撰寫(設(shè)計)過程1、學(xué)生在論文(設(shè)計)過程中的治學(xué)態(tài)度、工作精神 優(yōu) 良 中 及格 不及格2、學(xué)生掌握專業(yè)知識、技能的扎實程度 優(yōu) 良 中 及格 不及格3、學(xué)生綜合運(yùn)用所學(xué)知識和專業(yè)技能分析和解決問題的能力

31、優(yōu) 良 中 及格 不及格4、研究方法的科學(xué)性;技術(shù)線路的可行性;設(shè)計方案的合理性 優(yōu) 良 中 及格 不及格5、完成畢業(yè)論文(設(shè)計)期間的出勤情況 優(yōu) 良 中 及格 不及格二、論文(設(shè)計)質(zhì)量1、論文(設(shè)計)的整體結(jié)構(gòu)是否符合撰寫規(guī)范? 優(yōu) 良 中 及格 不及格2、是否完成指定的論文(設(shè)計)任務(wù)(包括裝訂及附件)? 優(yōu) 良 中 及格 不及格三、論文(設(shè)計)水平1、論文(設(shè)計)的理論意義或?qū)鉀Q實際問題的指導(dǎo)意義 優(yōu) 良 中 及格 不及格2、論文的觀念是否有新意?設(shè)計是否有創(chuàng)意? 優(yōu) 良 中 及格 不及格3、論文(設(shè)計說明書)所體現(xiàn)的整體水平 優(yōu) 良 中 及格 不及格建議成績: 優(yōu) 良 中 及格

32、不及格(在所選等級前的內(nèi)畫“”)指導(dǎo)教師: (簽名) 單位: (蓋章)年 月 日評閱教師評閱書評閱教師評價:一、論文(設(shè)計)質(zhì)量1、論文(設(shè)計)的整體結(jié)構(gòu)是否符合撰寫規(guī)范? 優(yōu) 良 中 及格 不及格2、是否完成指定的論文(設(shè)計)任務(wù)(包括裝訂及附件)? 優(yōu) 良 中 及格 不及格二、論文(設(shè)計)水平1、論文(設(shè)計)的理論意義或?qū)鉀Q實際問題的指導(dǎo)意義 優(yōu) 良 中 及格 不及格2、論文的觀念是否有新意?設(shè)計是否有創(chuàng)意? 優(yōu) 良 中 及格 不及格3、論文(設(shè)計說明書)所體現(xiàn)的整體水平 優(yōu) 良 中 及格 不及格建議成績: 優(yōu) 良 中 及格 不及格(在所選等級前的內(nèi)畫“”)評閱教師: (簽名) 單位: (蓋章)年 月 日教研室(或答辯小組)及教學(xué)系意見教研室(或答辯小組)評價:一、答辯過程1、畢業(yè)論文(設(shè)計)的基本要點(diǎn)和見解的敘述情況 優(yōu) 良 中 及格 不及格2、對答辯問題的反應(yīng)、理解、表達(dá)情況 優(yōu) 良 中 及格 不及格3、學(xué)生答辯過程中的精神狀態(tài) 優(yōu) 良 中 及格 不及格二、論文(設(shè)計)質(zhì)量1、論文(設(shè)計)的整體結(jié)構(gòu)是否符合撰寫規(guī)范? 優(yōu) 良 中 及格 不及格2、是否完成指定的論文(設(shè)計)任務(wù)(包括裝訂及附件)? 優(yōu) 良 中 及格 不及格三

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論