多路數(shù)據(jù)采集系統(tǒng)的設(shè)計(論文)_第1頁
多路數(shù)據(jù)采集系統(tǒng)的設(shè)計(論文)_第2頁
多路數(shù)據(jù)采集系統(tǒng)的設(shè)計(論文)_第3頁
多路數(shù)據(jù)采集系統(tǒng)的設(shè)計(論文)_第4頁
多路數(shù)據(jù)采集系統(tǒng)的設(shè)計(論文)_第5頁
已閱讀5頁,還剩17頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、鄂州職業(yè)大學(xué)畢業(yè)設(shè)計鄂州職業(yè)大學(xué)畢業(yè)設(shè)計(論文)課題名稱:多路數(shù)據(jù)采集系統(tǒng)的設(shè)計系部名稱電子電氣工程系專業(yè)班級應(yīng)用電子指導(dǎo)教師學(xué)生姓名設(shè)計時間第22頁共21頁目錄摘要31 總體方案設(shè)計4.1.1 方案論證4.1.1.1 傳感器 4.1.1.2 主控部分4.2 硬件電路的設(shè)計5.2.1 電源電路5.2.2 溫度采集電路 6.2.2.1 ds18b20 簡介6.2.2.2 電路設(shè)計8.2.2.3 無線傳輸電路模塊 9.3 無線發(fā)送與接收電路 103.1 無線發(fā)送電路103.2 無線接收模塊104 顯示電路1.1.4.1 字符型液晶顯示模塊1.14.2 字符型液晶顯示模塊引腳1.24.3 字符型液晶

2、顯示模塊內(nèi)部結(jié)構(gòu) 125 單片機(jī) at89s521.35.1 at89s52 簡介135.2 at89s52 引腳說明1.46軟件設(shè)計166.1 系統(tǒng)概述1.66.2 程序設(shè)計流程圖 166.3 溫度傳感器多點數(shù)據(jù)采集 1.77調(diào)試及結(jié)果1.77.1 測試環(huán)境及工具 1.77.2 測試方法1.77.3 測試結(jié)果分析178 總結(jié)18附錄1:電路原理總圖19附錄2:主要元器件清單 20參考文獻(xiàn)21.數(shù)據(jù)采集技術(shù)是信息科學(xué)的重要分支之一,它研究信息數(shù)據(jù)的采集、存儲、處理以 及控制等問題。它是對傳感器信號的測量與處理,以微型計算機(jī)等高技術(shù)為基礎(chǔ)而形成 的一門綜合應(yīng)用技術(shù)。數(shù)據(jù)采集也是從一個或多個信號獲

3、取對象信息的過程。隨著微型 計算機(jī)技術(shù)的飛速發(fā)展和普及,數(shù)據(jù)采集監(jiān)測已成為日益重要的檢測技術(shù),廣泛應(yīng)用于 工農(nóng)業(yè)等需要同時監(jiān)控溫度、濕度和壓力等場合。數(shù)據(jù)采集是工業(yè)控制等系統(tǒng)中的重要 環(huán)節(jié),通常采用一些功能相對獨立的單片機(jī)系統(tǒng)來實現(xiàn) ,作為測控系統(tǒng)不可缺少的部分: 數(shù)據(jù)采集的性能特點直接影響到整個系統(tǒng)。在分析了不同類型的單片機(jī)的特點及單片機(jī)與pc機(jī)通信技術(shù)的基礎(chǔ)上,設(shè)計了單片機(jī)控制的采集系統(tǒng),并通過串口通信實現(xiàn)單片機(jī)與 p(:機(jī)之間的通信,實現(xiàn)數(shù)據(jù)的傳 送并將數(shù)據(jù)在pc機(jī)上顯示及存儲,完成單機(jī)的多通道數(shù)據(jù)采集系統(tǒng)的設(shè)計及實現(xiàn)?;趩纹瑱C(jī)的多通道數(shù)據(jù)采集系統(tǒng)是由將來自傳感器的信號通過放大、線性化

4、、濾 波、同步采樣保持等處理后,輸入a/d轉(zhuǎn)換為數(shù)字信號后由單片機(jī)采集,然后利用單片 機(jī)與po的通信將數(shù)據(jù)送到pcm進(jìn)行數(shù)據(jù)的存儲、后期處理與顯示,實現(xiàn)了數(shù)據(jù)處理功 能強(qiáng)大、顯示直觀、界面友好、性價比高、應(yīng)用廣泛的特點,可廣泛應(yīng)用于工業(yè)控制、 儀器、儀表、機(jī)電一體化、智能家居等諸多領(lǐng)域。該系統(tǒng)采用的是at89s52i片機(jī),此芯片功能比較強(qiáng)大,能夠滿足設(shè)計要求。關(guān)鍵詞:多通道數(shù)據(jù)采集89s52單片機(jī)程序設(shè)計1總體方案設(shè)計溫度檢測系統(tǒng)有則共同的特點:測量點多、環(huán)境復(fù)雜、布線分散、現(xiàn)場離監(jiān)控室遠(yuǎn) 等。若采用一般溫度傳感器采集溫度信號,則需要設(shè)計信號調(diào)理電路、a/d轉(zhuǎn)換及相應(yīng)的接口電路,才能把傳感器輸

5、出的模擬信號轉(zhuǎn)換成數(shù)字信號送到計算機(jī)去處理。這樣, 由于各種因素會造成檢測系統(tǒng)較大的偏差;又因為檢測環(huán)境復(fù)雜、測量點多、信號傳輸 距離遠(yuǎn)及各種干擾的影響,會使檢測系統(tǒng)的穩(wěn)定性和可靠性下降。所以多點溫度檢測系統(tǒng)的設(shè)計的關(guān)鍵在于兩部分:溫度傳感器的選擇和主控單元的設(shè)計。溫度傳感器應(yīng)用 范圍廣泛、使用數(shù)量龐大,也高居各類傳感器之首。1.1 方案論證1.1.1 傳感器方案一:采用熱敏電阻,可滿足40攝氏度至90攝氏度測量范圍,但熱敏電阻精度、 重復(fù)性、可靠性較差,對于檢測1攝氏度的信號是不適用的。方案二:采用單片模擬量的溫度傳感器,比如ad590,lm3反。但這些芯片輸出的都 是模擬信號,必須經(jīng)過a/

6、d轉(zhuǎn)換后才能送給計算機(jī),這樣就使得測溫裝置的結(jié)構(gòu)較復(fù)雜。 另外,這種測溫裝置的一根線上只能掛一個傳感器,不能進(jìn)行多點測量。即使能實現(xiàn), 也要用到復(fù)雜的算法,一定程度上也增加了軟件實現(xiàn)的難度。方案三:采用數(shù)字溫度傳感器 ds18b2cm量溫度,輸出信號全數(shù)字化。便于單片機(jī) 處理及控制,省去傳統(tǒng)的測溫方法的很多外圍電路。且該芯片的物理化學(xué)性很穩(wěn)定,它 能用做工業(yè)測溫元件,此元件線性度較好。在0100攝氏度時,最大線形偏差小于 1攝氏度。ds18b205勺最大特點之一采用了單總線的數(shù)據(jù)傳輸, 由數(shù)字溫度計ds1820ft微 控制器at89s52成的溫度測量裝置,它直接輸出溫度的數(shù)字信號,可直接與計算

7、機(jī)連 接。這樣,測溫系統(tǒng)的結(jié)構(gòu)就比較簡單,體積也不大,且由于at89s52可以帶多個dsb1820因此可以非常容易實現(xiàn)多點測量。輕松的組建傳感器網(wǎng)絡(luò)。采用溫度芯片ds18b20m量溫度,可以體現(xiàn)系統(tǒng)芯片化這個趨勢。部分功能電路的 集成,使總體電路更簡潔,搭建電路和焊接電路時更快。而且,集成塊的使用,有效地 避免外界的干擾,提高測量電路的精確度。所以集成芯片的使用將成為電路發(fā)展的一種 趨勢。本方案應(yīng)用這一溫度芯片,也是順應(yīng)這一趨勢。1.1.2 主控部分方案一:采用at89s52八位單片機(jī)實現(xiàn)。單片機(jī)軟件編程的自由度大,可通過編程 實現(xiàn)各種各樣的算術(shù)算法和邏輯控制。而且體積小,硬件實現(xiàn)簡單,安裝方

8、便。既可以 單獨對多ds18b20空制工作,還可以與pc機(jī)通信.運(yùn)用主從分布式思想,由一臺上位機(jī)(pc微型計算機(jī)),下位機(jī)(單片機(jī))多點溫度數(shù)據(jù)采集,組成兩級分布式多點溫度測 量的巡回檢測系統(tǒng),實現(xiàn)遠(yuǎn)程控制。另外 at89c51在工業(yè)控制上也有著廣泛的應(yīng)用,編 程技術(shù)及外圍功能電路的配合使用都很成熟1 o方案二:使用msp430乍控制器,德州儀器(ti)的超低功率16位risc混合信號 處理器msp430產(chǎn)品系列為電池供電測量應(yīng)用提供了最終解決方案。作為混合信號和數(shù) 字技術(shù)的領(lǐng)導(dǎo)者,ti創(chuàng)新生產(chǎn)的msp430使系統(tǒng)設(shè)計人員能夠在保持獨一無二的低功 率的同時同步連接至模擬信號、傳感器和數(shù)字組件。

9、但在溫度采集和實施控制這個重要 的場合低功耗相對來說顯得就不是那么重要了,而應(yīng)該考慮它的穩(wěn)定性、準(zhǔn)確性,同時 對比at89s52能夠在性能和資源都可以到達(dá)一個最佳的狀態(tài),可以避免用msp430勺不必要的資源浪費(fèi)。綜上,我們傳感器采用方案二,控制器采用方案一。系統(tǒng)框圖如下圖。圖1.1.2-1發(fā)射電路系統(tǒng)框圖圖1.1.2-2接收電路系統(tǒng)框圖2硬件電路的設(shè)計本課題所設(shè)計的外圍電路包括:電源電路、溫度采集、時鐘電路、存儲電路、報警 電路、模擬控制電路、按鍵電路、顯示電路以及串口等電路。下面將依次對各個模塊進(jìn) 行說明。2.1 電源電路電源變壓器是將交流電網(wǎng)220v的電壓變?yōu)樗枰碾妷褐?。交流電?jīng)過二極

10、管整 流之后,方向單一了,但是電流強(qiáng)度大小還是處在不斷地變化之中。這種脈動直流一般 是不能直接用來給集成電路供電的,而要通過整流電路將交流電變成脈動的直流電壓。 由于此脈動的直流電壓還含有較大的紋波,必須通過濾波電路加以濾除,從而得到平滑的直流電壓。濾波的任務(wù),就是把整流器輸出電壓中的波動成分盡可能地減小,改造成 接近穩(wěn)恒的直流電。但這樣的電壓還隨電網(wǎng)電壓波動,一般有由0%左右的波動,負(fù)載和溫度的變化而變化,因而在整流、濾波電路之后,還需要接穩(wěn)壓電路。穩(wěn)壓電路的作用是當(dāng)電網(wǎng)電壓波動,負(fù)載和溫度變化時,維持輸出直流電壓穩(wěn)定。220v交流電通過9v變壓器變?yōu)?v的交流電,9v交流電通過四個二極管的

11、全橋整流 后變?yōu)?v直流電,然后經(jīng)過電解電容(470pf)進(jìn)行一級濾波,以去除直流電里面的 雜波,防止干擾。9v直流電出來后再經(jīng)過三端穩(wěn)壓器 lm7805穩(wěn)壓成為穩(wěn)定的5v電 源,其中7805的vin腳是輸入腳,接9v直流電源正極,gnd是接地腳,接9v直流 電源負(fù)極,vout為輸出腳,它和接地腳的電壓就是+5v 了。5v電源出來再經(jīng)過電解電 容的二級濾波,使5v電源更加穩(wěn)定可靠。同時在 5v穩(wěn)壓電源加上一個10k的電阻和 一個紅色發(fā)光二極管,當(dāng)上電后,紅色發(fā)光二極管點亮,表示電源工作正常。此時一個 穩(wěn)定輸出5v的電源已經(jīng)設(shè)計好,對于本設(shè)計它完全能夠滿足單片機(jī)及集成塊所需電源 的要求。電源原理

12、圖如圖2.1-1所示。圖2.1-1電源原理圖2.2 溫度采集電路2.2.1 ds18b20 簡介溫度芯片ds18b2q1 dallas公司生產(chǎn)的一線式數(shù)字溫度傳感器,具有 3引腳to 92小體積封裝形式。測溫分辨率可達(dá)0.0625 c,被測溫度用符號擴(kuò)展的16位數(shù)字量方 式用行輸出。測量溫度范圍為-55 c+125 c,在-10 c+85 c范圍內(nèi),精度為此5 c。 其工作電源既可在遠(yuǎn)端引入,也可采用寄生電源方式產(chǎn)生。cpu只需一根端口線就能與諸多ds18b20s信,占用微處理器的端口較少,可節(jié)省大量的引線和邏輯電路。由于每 一個ds18b2(tb有唯一系列號,因此多個 ds18b20t以存在

13、同一條單總線上。這允許許多不同地方放置溫度靈敏器件。此特性的應(yīng)用范圍包括 hav/境控制,建筑物、設(shè)備 或機(jī)械內(nèi)的溫度檢測,以及過程監(jiān)控和控制中的溫度檢測等 3。ds18b20的內(nèi)部結(jié)構(gòu)如 圖2.2.1-1所示。電 源 檢 測和銹接口高速薪存儲器m低溫自由發(fā)器tl溫度靈敏元件高溫a竣器th配置寄存器驗血生成器圖 2.2.1-1 ds18b20 方框圖ds18b20t 4個主要的數(shù)據(jù)部件:a、64位激光rom 64位激光roma高位至u低位依次為8位crc 48位序列號和8 位家族代碼(28h)組成。b、溫度靈敏元件。c、非易失性溫度報警觸發(fā)器 th和tl??赏ㄟ^軟件寫入用戶報警上下限值。d配置

14、寄存器。配置寄存器為高速暫存存儲器中的第五個字節(jié)。其中 ro r1:溫 度計分辨率設(shè)置位,其對應(yīng)四種分辨率如下表所列,出廠時 ro r1置為缺省值:r0=1, r1=1(即12位分辨率),用戶可根據(jù)需要改寫配置寄存器以獲得合適的分辨率。表2.2.1-1分辨率關(guān)系表r0r1分辨率/bit最大轉(zhuǎn)換時間/us00993.750110187.510113751112750高速暫存存儲器由9個字節(jié)組成,其分配如表2.2.1-2所示。當(dāng)溫度轉(zhuǎn)換命令發(fā)布 后,經(jīng)轉(zhuǎn)換所得的溫度值以二字節(jié)補(bǔ)碼形式存放在高速暫存存儲器的第 0和第1個字節(jié), 單片機(jī)可通過單線接口讀到該數(shù)據(jù),讀取時低位在前,高位在后,數(shù)據(jù)格式如表2

15、.2.1-2 所示。對應(yīng)的溫度計算:當(dāng)符號位 s=0時,直接將二進(jìn)制位轉(zhuǎn)換為十進(jìn)制;當(dāng) s=1時, 先將補(bǔ)碼變?yōu)樵a,再計算十進(jìn)制值。表 2.2.1-2 ds18b20 存儲器溫度lsb溫度msbthtl保留保留計數(shù)寄存器計數(shù)寄存器8 位 crc2.2.2 電路設(shè)計本系統(tǒng)為多點溫度測試。ds18b2ck用外部供電方式,理論上可以在一根數(shù)據(jù)總線 上掛256個ds18b20但時間應(yīng)用中發(fā)現(xiàn),如果掛接25個以上的ds18b200舊有可能產(chǎn) 生功耗問題。另外單總線長度也不宜超過80m否則也會影響到數(shù)據(jù)的傳輸。在這種情況下我們可以采用分組的方式,用單片機(jī)的多個i/o來驅(qū)動多路ds18b20在實際應(yīng)用中還

16、可以使用一個mosfe將i/o 口線直接和電源相連,起到上拉的作用4 o電路如圖 2.2.2-1 0圖2.2.2-1單總線原理圖對ds18b20勺設(shè)計,需要注意以下問題:a、對硬件結(jié)構(gòu)簡單的單線數(shù)字溫度傳感器 ds18b20進(jìn)行操作,需要用較為復(fù)雜的 程序完成。編制程序時必須嚴(yán)格按芯片數(shù)據(jù)手冊提供的有關(guān)操作順序進(jìn)行,讀、寫時間 片程序要嚴(yán)格按要求編寫。尤其在使用 ds18b20的高測溫分辨力時,對時序及電氣特性 參數(shù)要求更高。b、有多個測溫點時,應(yīng)考慮系統(tǒng)能實現(xiàn)傳感器出錯自動指示, 進(jìn)行自動ds18b20序 列號和自動排序,以減少調(diào)試和維護(hù)工作量。g測溫電纜線建議采用屏蔽4芯雙絞線,其中一對線

17、接地線與信號線,另一組接 vcg口地線,屏蔽層在源端單點接地。ds18b20在三線制應(yīng)用時,應(yīng)將其三線焊接牢固; 在兩線應(yīng)用時,應(yīng)將vc(cfgn接在一起,焊接牢固。若vco開未接,彳感器只送85c 的溫度值。d實際應(yīng)用時,要注意單線的驅(qū)動能力,不能掛接過多的ds18b20同時還應(yīng)注意最遠(yuǎn)接線距離。另外還應(yīng)根據(jù)實際情況選擇其接線拓?fù)浣Y(jié)構(gòu)。2.2.3 無線傳輸電路模塊無線傳輸模塊,采用集成芯片pt2262?口 pt2272來構(gòu)建收發(fā)電路。pt2262/pt2272是 臺灣普城公司生產(chǎn)的一種cmos工藝制造的低功耗低價位通用編解碼電路, pt2262/pt2272最多可有12位(a0-a11)三態(tài)

18、地址端管腳(懸空,接高電平,接低電平), 任意組合可提供531441地址碼,pt2262最多可有6位(d0-d5)數(shù)據(jù)端管腳,設(shè)定的地 址碼和數(shù)據(jù)碼從17腳用行輸出,可用于無線遙控發(fā)射電路。編碼芯片pt2262發(fā)出的編碼信號由:地址碼、數(shù)據(jù)碼、同步碼組成一個完整的碼 字,解碼芯片pt2272接收到信號后,其地址碼經(jīng)過兩次比較核對后,vt腳才輸出高電 平,與此同時相應(yīng)的數(shù)據(jù)腳也輸出高電平,如果發(fā)送端一直按住按鍵,編碼芯片也會連 續(xù)發(fā)射。當(dāng)發(fā)射機(jī)沒有按鍵按下時,pt2262不接通電源,其17腳為低電平,所以315mhz 的高頻發(fā)射電路不工作,當(dāng)有按鍵按下時,pt2262得電工作,其第17腳輸出經(jīng)調(diào)

19、制的 申行數(shù)據(jù)信號,當(dāng)17腳為高電平期間315mhz的高頻發(fā)射電路起振并發(fā)射等幅高頻信 號,當(dāng)17腳為低平期間315mhz的高頻發(fā)射電路停止振蕩,所以高頻發(fā)射電路完全收 控于pt2262的17腳輸出的數(shù)字信號,從而對高頻電路完成幅度鍵控(askm制)相當(dāng) 于調(diào)制度為100%的調(diào)幅。在通常使用中,一般采用8位地址碼和4位數(shù)據(jù)碼,這時編碼電路pt2262和解碼 pt2272的第18腳為地址設(shè)定腳,有三種狀態(tài)可供選擇:懸空、接正電源、接地三種 狀態(tài),3的8次方為6561,所以地址編碼不重復(fù)度為6561組,只有發(fā)射端pt2262和 接收端pt2272的地址編碼完全相同,才能配對使用,例如將發(fā)射機(jī)的pt

20、2262的第2腳 接地第3腳接正電源,其它引腳懸空,那么接收機(jī)的 pt2272只要第2腳接地第3腳 接正電源,其它引腳懸空就能實現(xiàn)配對接收。當(dāng)兩者地址編碼完全一致時,接收機(jī)對應(yīng) 的d1d4端輸出約4v互鎖高電平控制信號,同時 vt端也輸出解碼有效高電平信號。 用戶可將這些信號加一級三極管放大,便可驅(qū)動繼電器等負(fù)載進(jìn)行遙控操縱5。設(shè)置地址碼的原則是:同一個系統(tǒng)地址碼必須一致;不同的系統(tǒng)可以依靠不同的地 址碼加以區(qū)分。至于設(shè)置什么樣的地址碼完全隨客戶喜歡。3無線發(fā)送與接收電路3.1 無線發(fā)送電路原理如圖3.1-1所示。圖3.1-1 pt2262 發(fā)射原理圖pt2262的發(fā)射原理如上圖所示,采用8位

21、地址碼和4位數(shù)據(jù)碼的格式。pt2262的 第18引腳設(shè)置地址為“ 0000000。,及18腳都接地。第1013引腳為數(shù)據(jù)輸入端, 這四個引腳分別與單片機(jī) at89s51的p2.0p2.3 口相連。要發(fā)送的數(shù)據(jù)通過單片機(jī) at89s51的p2.0p2.3 口寫入pt2262的數(shù)據(jù)輸入管腳1013。由于第14腳接地,所以 編碼啟動端一直有效,當(dāng)pt2262的管腳1013有輸入(有一個為“ 1”即有編碼發(fā)出), 則輸入的4位數(shù)據(jù)再經(jīng)過第17腳用行輸出通過天線發(fā)送出去。3.2 無線接收模塊無線接收模塊電路圖如圖3.2-1所示:pt2272的接收原理如上圖所示,由于pt2262 采用8位地址碼和4位數(shù)據(jù)

22、碼的格式,所以pt2272也要采用同牛¥的格式。pt2272要與 pt2262的地址相匹配才能進(jìn)行傳輸,所以 pt2272的地址引腳 18也要設(shè)置為 “00000000',及都接地。數(shù)據(jù)出端1013引腳與單片機(jī)at89s51的p1.0p1.3 口相連。接收到的數(shù)據(jù)再通過單片機(jī)的外圍接口p1.0p1.3讀入到單片機(jī)內(nèi)部進(jìn)行處理。第 17腳連接到單片機(jī)的p3.2 (int0)的外中斷0的輸入端,同時接一個發(fā)光二極管來確定解 碼有沒有效。當(dāng)解碼有效時17腳輸出瞬間的高電平同時使單片機(jī)產(chǎn)生中斷來讀取數(shù)據(jù)6o|圖3.2-1 pt2262 接收原理圖4 顯示電路4.1字符型液晶顯示模塊-

23、8 0 rl 2 54o。obisr1 25-c和二極管瞬間發(fā)光。每解碼有效一次,發(fā)光二極管的閃爍一次圖4.1-1 液晶面板字符型液晶顯示模塊是一類專門用于顯示字母,數(shù)字,符號等的點陣式液晶顯示模 塊。在顯示器件上的電極圖型設(shè)計,它是由若干個 5*7或5*11等點陣符位組成。每一 個點陣字符位都可以顯示一個字符。 點陣字符位之間有一空點距的間隔起到了字符間距 和行距的作用。4.2 字符型液晶顯示模塊引腳vss為地電源,vd球5v正電源,vl為液晶顯示器對比度調(diào)整端, 接正電源時對比 度最弱,接地電源時對比度最高,對比度過高時會產(chǎn)生“鬼影”,使用時可以通過一個 10k的電位器調(diào)整對比度。rs為寄

24、存器選擇,高電平時選擇數(shù)據(jù)寄存器、低電平時選擇 指令寄存器。rw的讀寫信號線,高電平時進(jìn)行讀操作,低電平時進(jìn)行寫操作。當(dāng)rs和rw共同為低電平時可以寫入指令或者顯示地址, 當(dāng)rs為低電平rw的高電平時可以讀忙 信號,當(dāng)rs為高電平rw為低電平時可以寫入數(shù)據(jù)。e端為使能端,當(dāng)e端由高電平跳 變成低電平時,液晶模塊執(zhí)行命令。db0db的8位雙向數(shù)據(jù)線,blk和bla是背光燈電源7。模塊引腳如表4.2-1。表4.2-1字符型液晶顯示模塊引腳編號符號引腳說明編號符號引腳說明1vss電源地9d2data i/o2vdd電源止極10d3data i/o3vl液晶顯小偏壓仁號11d4data i/o4rs數(shù)

25、據(jù)/命令12d5data i/o5r/wt賣1寫13d6data i/o6e使能信號14d7data i/o7d0data i/o45bla背光源正級8d1data i/o16blk背光源負(fù)級4.3 字符型液晶顯示模塊內(nèi)部結(jié)構(gòu)液晶顯示模塊 wm-c1602n內(nèi)部結(jié)構(gòu)如圖4.3-1分為三部份:一為lcd空制器, 為lcd?區(qū)動器,三為lcd顯示裝置。lcd控制器顯示裝罡圖4.3-1 lcd1602 內(nèi)部結(jié)構(gòu)圖4.3-2 液晶接口5 單片機(jī)at89s525.1 at89s52 簡介如圖5.1-1所示為at89s52芯片的弓|腳圖。兼容標(biāo)準(zhǔn) mcs-51指令系統(tǒng)的at89s52 單片機(jī)是一個低功耗、

26、高性能 chmo的單片機(jī),片內(nèi)含4kb在線可編程flash存儲器的 單片機(jī)。它與通用80c51系列單片機(jī)的指令系統(tǒng)和引腳兼容。at89s52單片機(jī)片內(nèi)的flash可允許在線重新編程,也可用通用非易失性存儲編程器編程;片內(nèi)數(shù)據(jù)存儲器內(nèi)含128字節(jié)的ram有40個引腳,32個外部雙向輸入/輸出(i/o)端口;具有兩個16位可編程定時器;中斷系統(tǒng)是具有6個中斷源、5個中斷矢量、2級中斷優(yōu)先級的中斷結(jié)構(gòu);震蕩器頻率 0到33mhz因此我們在此選用12mhz勺晶振是比較合理的;具有片內(nèi)看門狗定時器;具有斷電標(biāo)志po曲等。at89s51具有pdirtqf濟(jì)口 plcce種封裝形式8。09-87- 6- 5

27、- 4- 32-1-09-8-76-5-43-2 14 3 3 3-3-3 3-3 3 3-3 2-2 2)=2ti2t2p1.0(t2)vccp1j(t2ex)po.o(ado)pl2po.1(ad1)pl3p0,2(ad2)pl4p0,3(ad3)pl.5po.4(atn)pl.6po.5gad5)pl.7p0,6(ad6)rstp07(ad7)p3.0(rxd)eafvpp)p31(txd) aletprog) p3.2flnt0)psenpjj(int1)p27( al 5)p3.4(t0)p2.6(a14)p3j(t1)p2j(a13)p3.6&g)p2.4(a12)p3.7

28、(ro)p2.3(a11)xtal2p2.2(a10)xtal1p2,1(a9)gndp2.0(a8)圖5.1-1 at89s52 引腳圖上圖就是pdip封裝的引腳排列,下面介紹各引腳的功能。5.2 at89s52引腳說明p0 口: 8位、開漏級、雙向i/o 口。p0 口可作為通用i/o 口,但須外接上拉電阻; 作為輸出口,每各引腳可吸收8各ttl的灌電流。作為輸入時,首先應(yīng)將引腳置1。p0也可用做訪問外部程序存儲器和數(shù)據(jù)存儲器時的低8位地址/數(shù)據(jù)總線的復(fù)用線。在該模式下,p0 口含有內(nèi)部上拉電阻。在 flashy程時,p0 口接收代碼字節(jié)數(shù)據(jù);在編程 效驗時,p0 口輸出代碼字節(jié)數(shù)據(jù)(需要外

29、接上拉電阻)。p1 口: 8位、雙向i/o 口,內(nèi)部含有上拉電阻。p1 口可作普通i/o 口。輸出緩沖器 可驅(qū)動四個ttl負(fù)載;用作輸入時,先將引腳置1,由片內(nèi)上拉電阻將其抬到高電平。 p1 口的引腳可由外部負(fù)載拉到低電平, 通過上拉電阻提供電流。在flashy行編程和校 驗時,p1 口可輸入低字節(jié)地址。在串行編程和效驗時,p1.5/mo-si, p1.6/miso和p1.7/sck分別是串行數(shù)據(jù)輸入、輸出和移位脈沖引腳。p2 口:具有內(nèi)部上拉電阻的8位雙向i/o 口。p2 口用做輸出口時,可驅(qū)動4各ttl 負(fù)載;用做輸入口時,先將引腳置 1,由內(nèi)部上拉電阻將其提高到高電平。若負(fù)載為低 電平,

30、則通過內(nèi)部上拉電阻向外部輸出電流。cpu訪問外部16位地址的存儲器時,p2 口提供高8位地址。當(dāng)cpu用8位地址尋址外部存儲時,p2口為p2特殊功能寄存器的 內(nèi)容。在flask行編程和校驗時,p2 口可輸入高字節(jié)地址和某些控制信號。p3 口:具有內(nèi)部上拉電阻的8位雙向口。p3 口用做輸出口時,輸出緩沖器可吸收4 各ttl的灌電流;用做輸入口時,首先將引腳置 1,由內(nèi)部上拉電阻抬位高電平。若外 部的負(fù)載是低電平,則通過內(nèi)部上拉電阻向輸出電流。在與flashy行編程和校驗時,p3 口可輸入某些控制信號。p3 口除了通用i/o 口功能外,還有替代功能,如表 5.3-1 所示。表5.3-1 p3口的替

31、代功能引腳符號說明p3.0rxd用行口輸入p3.1txd用行口輸出p3.2/int0外部中斷0p3.3/int1外部中斷1p3.4t0t0定時器的外部的計數(shù)輸入p3.5t1t1定時器的外部的計數(shù)輸入p3.6/wr外部數(shù)據(jù)存儲器的寫選通p3.7/rd外部數(shù)據(jù)存儲器的讀選通rst復(fù)位端。當(dāng)振蕩器工作時,此引腳上出現(xiàn)兩個機(jī)器周期的高電平將系統(tǒng)復(fù)位。ale/兩:當(dāng)訪問外部存儲器時,ale (允許地址鎖存)是一個用于鎖存地址的低8位字節(jié)的書粗脈沖。在 flash編程期間,此引腳也可用于輸入編程脈沖( 麗)。在 正常操作情況下,ale以振蕩器頻率的1/6的固定速率發(fā)出脈沖,它是用作對外輸出的 時鐘,需要注

32、意的是,每當(dāng)訪問外部數(shù)據(jù)存儲器時,將跳過一個ale脈沖。如果希望禁止ale操作,可通過將特殊功能寄存器中位地址為 8eh那位置的“0”來實現(xiàn)。該位置 的“1”后。ale僅在moves mov蠟令期間激活,否則ale引腳將被略微拉高。若微控 制器在外部執(zhí)行方式,ale禁止位無效。的西:外部程序存儲器讀選取通信號。當(dāng) at89s51在讀取外部程序時, 每個機(jī)器 周期 將psen!活兩次。在此期間內(nèi),每當(dāng)訪問外部數(shù)據(jù)存儲器時,將跳過兩個 訪的信 號。西/vpp:訪問外部程序存儲器允許端。為了能夠從外部程序存儲器的 0000h至ffffh單元中取指令,前必須接地,然而要注意的是,若對加密位 1進(jìn)行編程

33、,則在復(fù)位時, ea的狀態(tài)在內(nèi)部被鎖存。執(zhí)行內(nèi)部程序 函應(yīng)接vcc不當(dāng)選擇12v編程電源時,在flash編程期間,這個引 腳可接12v編程電壓。xtal1:振蕩器反向放大器輸入端和內(nèi)部時鐘發(fā)生器的輸入端。xtal2振蕩器反相放大器輸出端9。6軟件設(shè)計6.1 系統(tǒng)概述整個系統(tǒng)的功能是由硬件電路配合軟件來實現(xiàn)的,當(dāng)硬件基本定型后,軟件的功能也就基本定下來了。從軟件的功能不同可分為兩大類:一是監(jiān)控軟件(主程序),它是整個控制系統(tǒng)的核心,專門用來協(xié)調(diào)各執(zhí)行模塊和操作者的關(guān)系。二是執(zhí)行軟件(子程 序),它是用來完成各種實質(zhì)性的功能如測量、計算、顯示、通訊等。每一個執(zhí)行軟件 也就是一個小的功能執(zhí)行模塊。這

34、里將各執(zhí)行模塊一一列出,并為每一個執(zhí)行模塊進(jìn)行 功能定義和接口定義。各執(zhí)行模塊規(guī)劃好后,就可以規(guī)劃監(jiān)控程序了。6.2 程序設(shè)計流程圖1 一笠臺j(采集a點點顯度 ) 采集日點溫度)unfmi jjw_i-t分另u年月寸出去 t圖6.2-1發(fā)射流程圖 開始系統(tǒng)初始化數(shù)高'分別接收數(shù)據(jù)j 如不退度圖6.2-2接收流程圖6.3 溫度傳感器多點數(shù)據(jù)采集ds18b20可設(shè)定912位的分辨率,本系統(tǒng)采用12位分辨率,轉(zhuǎn)換精度為0.0625 c , 轉(zhuǎn)換溫度信號所需最長時間為750ms溫度數(shù)據(jù)由2字節(jié)組成,以符號擴(kuò)展的二進(jìn)制補(bǔ) 碼形式存儲,最低4位是小數(shù)部分,中間7位是整數(shù)部分,1位符號位。ds18

35、b20內(nèi)部 ram由9個字節(jié)的高速緩存器和e2prom&成,前2個字節(jié)即為溫度數(shù)據(jù)。通過復(fù)位指 令、romffdram功能命令,即可完成對指定ds18b2溫度數(shù)據(jù)的采集和讀取。在一線制總線上用接多個ds18b20器件時,需要先發(fā)送跳過rom旨令,將所有傳感 器都進(jìn)行一次溫度轉(zhuǎn)換,之后通過匹配 ro俅次讀取每個傳感器的溫度數(shù)據(jù),實現(xiàn)對單 i/o 口上的多個ds18b20器件的操作10。在系統(tǒng)安裝及工作之前應(yīng)將主機(jī)逐個與 ds182陰接,以讀出其序列號。其工作過程 為:主機(jī)發(fā)出一個脈沖,待“ 0”電平大于480 ps后,復(fù)位ds1820,在ds1820所發(fā)響應(yīng) 脈沖由主機(jī)接收后,主機(jī)再發(fā)讀

36、rom命令代碼33h,然后發(fā)一個脈沖(15 ps),并接著讀 取ds1820序列號的一位。用同樣方法讀取序列號的 56位。另外,由于ds1820單線通信 功能是分時完成的,遵循嚴(yán)格的時隙概念,系統(tǒng)對 ds182cft各種操作必須按協(xié)議進(jìn)行, 即:初始化ds18b20(發(fā)復(fù)位脈沖)一發(fā)rom功能命令一發(fā)存儲器操作命令一處理數(shù)據(jù)。7調(diào)試及結(jié)果7.1 測試環(huán)境及工具測試溫度:0100攝氏度(模擬多點不同溫度值環(huán)境)。測試儀器及軟件:數(shù)字萬用表,溫度計 0100攝氏度,串口調(diào)試助手。測試方法:目測。7.2 測試方法使系統(tǒng)運(yùn)行,觀察系統(tǒng)硬件檢測是否正常(包括單片機(jī)最小系統(tǒng),鍵盤電路,顯示電路,溫度測試電路等)。系統(tǒng)自帶測試表格數(shù)據(jù),觀察顯示數(shù)據(jù)是否相符合即可。采用溫度傳感器和溫度計同時測量多點水溫變化情況(取溫度值不同的多點),目測顯示電路是否正常。并記錄各點溫度值,與實際溫度值比較,得出系統(tǒng)的溫度指標(biāo)。使用串口調(diào)試助手與單片機(jī)通訊,觀察單片機(jī)與用口之間傳輸數(shù)據(jù)正確否

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論