交通燈設(shè)計及單片機(jī)的運用_第1頁
交通燈設(shè)計及單片機(jī)的運用_第2頁
交通燈設(shè)計及單片機(jī)的運用_第3頁
交通燈設(shè)計及單片機(jī)的運用_第4頁
交通燈設(shè)計及單片機(jī)的運用_第5頁
已閱讀5頁,還剩17頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、交通燈設(shè)計及單片機(jī)的運用摘要隨著社會主義的建設(shè),城市的規(guī)模在不斷擴(kuò)大,城市的交通也在不斷 的提高和改進(jìn),交通的順暢已經(jīng)成為制約社會主義建設(shè)的一個重耍因素。 目前,伴隨著機(jī)動車輛的不斷增加,尤其是十字路口的交通建設(shè)?頗為關(guān) 鍵,嚴(yán)重的影響到城市交通安全。社會主義建設(shè)以來,有許許多多的設(shè)計 工作者投身于十字路口交通建設(shè)的研究之中,創(chuàng)造和設(shè)計出了很多新型的 方案,把我國交通建設(shè)推向了更高的發(fā)展階段。當(dāng)前,十字路口都采用了 信號燈來控制車輛和人的通行,不僅便于維護(hù)管理,而且有較強(qiáng)的自動化。 大量的信號燈電路正向著數(shù)字化、小功率、多樣化、方便人、車、路三者 關(guān)系的協(xié)調(diào),多值化方向發(fā)展隨著社會經(jīng)濟(jì)的發(fā)展,

2、城市交通問題越來越 引起人們的關(guān)注。它的出現(xiàn)使交通得以有效管制,對于疏導(dǎo)交通流量、提 高道路通行能力,減少交通事故冇明顯效果。十字路口車輛穿梭,行人熙 攘,車行車道,人行人道,有條不紊。那么靠什么來實現(xiàn)這井然秩序呢? 靠的就是交通信號燈的自動指揮系統(tǒng)。交通信號燈控制方式很多。本系統(tǒng) 采用單片機(jī)at89s52來設(shè)計交通燈控制器,實現(xiàn)了能根據(jù)實際車流量通過 at89s52芯片的p1 口設(shè)置紅、綠燈燃亮?xí)r間的功能;紅綠燈循環(huán)點亮,倒 計時剩5秒時黃燈閃爍警示。本系統(tǒng)實用性強(qiáng)、操作簡單、擴(kuò)展功能強(qiáng)。關(guān)鍵詞:at89s52單片機(jī);交通燈;倒計時;時間顯不abstractwith the con stru

3、ct! on of socialism, the city scale in expa nding con sta ntly, urban traffic is also in constant improvement and improvement, traffic flow restriction of socialist construction has become one of the important factors. at present, with the increasing motor-driven car, especially intersection traffic

4、 construction ? is vital, serious effect to urban traffic safety. socialist construction, since there are many design professionals involved in crossing traffic construction investigation, create and devised many new scheme, put in traffic construction to the higher stages of development. current, i

5、ntersection are adopted to control vehicle lights and traffic, not only facilitates maintenance management, and have strong automation. a lot of signal circuit is toward digital, small power, diversification, convenient people, vehicles and road relationship coordination, multi-valued change directi

6、on to develop with the development of social economy, urban transportation problem and has attracted the atte ntion of people .it appears that traffic to effectively con trol for relieves traffic flow, improve traffic capacity, reduce the number of traffic accidents have obvious effect. crossroads t

7、ransports, pedestrian bustling, garage lanes and pedestrian humanitarian, in an orderly way. then rely on what to achieve this in order? lean is traffic lights automatic comma nd system. traffic lights con trol many ways. the system uses the mono lithic in tegrated circuit atat89s52 to design and re

8、alize the traffic light controller can according to actual traffic through the mouth at89s52 chip set red, green pl burning time function; traffic lights circulation lighted, with 5 seconds countdownyellow lights flashing warning. this system is practical, simple operation and function expansion is

9、strong.keywords: at89s52 microcontroller, traffic lights, the countdown, time display目錄第一章緒論31.1交通信號燈的發(fā)展及研究意義31.2現(xiàn)在城市路口交通燈控制技術(shù)現(xiàn)狀41.3單片機(jī)概述14方案的設(shè)計與論證5第二章系統(tǒng)硬件設(shè)計72.1總體設(shè)計2.2單片機(jī)的選擇72.3單片機(jī)的基本結(jié)構(gòu)92. 4單片機(jī)外圍電路設(shè)計 13第三章交通信號燈控制系統(tǒng)的設(shè)計153.1十字路口交通信號燈具體的控制要求153.2十字路口交通信號燈示意圖16第四章交通信號燈控制系統(tǒng)程序編制174.1軟件設(shè)計應(yīng)用環(huán)境4.2軟件設(shè)計流程18第五

10、章結(jié)論.20第六章謝辭錯誤!未定義書簽。第七章參考文獻(xiàn)錯誤!未定義書簽。附件一:總體原理圖錯誤!未定義書簽。附件二:源程序代碼錯誤!未定義書簽。第一章緒論交通信號燈的發(fā)展及研究意義目前,采用紅綠燈來作為道路交通 航向指導(dǎo)己經(jīng)是非常普遍的現(xiàn)象了,而且這一技術(shù)的應(yīng)用也相當(dāng)成熟和廣 泛。起初,在一八五八年,英國的倫敦市最先出現(xiàn)了交通信號燈,用來指 揮馬車在道路中的形勢。這種信號燈主要的能量來源是燃燒煤氣,控制方 式是機(jī)械扳手,發(fā)出的燈光有兩種顏色,即紅色和藍(lán)色。這是世界上最早 的交通信號燈。后來,在一八六八年,仍然是在英國倫敦,納伊特采用紅 綠兩種顏色的燈服務(wù)于議會大廈的廣場上,這種燈仍然是煤氣燈,

11、而且外形是旋轉(zhuǎn)式的玻璃提燈, 這種燈的紅燈亮意為“停止”,而綠燈亮意為“注意”。然而,僅僅一年時 間,這種燈就發(fā)生了爆炸事件,同時還傷到了警察,于是立刻停止使用。運用電能進(jìn)行控制的紅綠燈誕牛在美國,而且是三種顏色的,包括有 紅燈、綠燈和黃燈。這種三色燈在一九一四年用于紐約,在一個比較高的 塔上作為信號指揮,當(dāng)紅燈亮的時候代表“停止”,當(dāng)綠燈亮傷到時候代 表“通行”。到了一九一八年,紅綠燈技術(shù)進(jìn)一步得到發(fā)展。有兩種形式的紅綠燈 被運用在道路交通上,其中一種是有控制裝置的,這種控制裝置就是壓力 探測器或者是擴(kuò)音器,也就是能夠根據(jù)汽車的重量或者是汽車?yán)鹊鹊拇?激獲得感應(yīng),然后控制紅燈變?yōu)榫G燈使汽車

12、能夠通行。另一種形式就是紅 外線紅綠燈,它能夠感知行人,冇行人經(jīng)過時,就會使紅燈持續(xù)一段吋間, 禁止車輛通行,保證行人安全。后來,技術(shù)不斷發(fā)展,又對紅綠燈進(jìn)行技術(shù)方面的改進(jìn)和完善,使紅 綠燈在城市的道路中得到普遍運用。在交通信號燈投入使用之后,有效地減少了交通擁堵現(xiàn)象,也減少了 交通警察的工作量,同時也極大地降低了交通事故率,使道路上行人和車 輛的通行也變得秩序井然。直到現(xiàn)在,交通信號燈又朝著自動化和智能化 等先進(jìn)方向被改進(jìn),更是有效的改善了城市的交通環(huán)境。事實上,涌入城市的人口越來越多,而車輛也更加普及,城市交通流 量也變得越來越大,就需要更加先進(jìn)的紅綠燈來指揮交通。而我們只有更 加深入地了

13、解和學(xué)習(xí)交通信號燈的原理,并充分認(rèn)識交通需求,發(fā)揮創(chuàng)造 力,才能研究出滿足需要的交通信號燈,使其發(fā)揮最大效用。1.2現(xiàn)在城市路口交通燈控制技術(shù)現(xiàn)狀城市規(guī)模不斷變大,車流量也越來越多,一些比較大的城市無法擔(dān)負(fù) 這么沉重的交通負(fù)荷,于是到了八十年代末,高速道路開始在這些城市盛 行起來,事實上,最開始的時候,這些高速道路的確起了緩解交通壓力的 作用。但是,到了后來,交通流量急速上漲,而在高速路方面也沒有有效 的監(jiān)管措施,因此,高速路發(fā)揮的作用十分有限。同時,由于高速路和普 通道路是有連接段的,因此高速路的運行狀況會受到連接段道路交通情況 的影響。因此,要使花費大筆資金建造的高速道路能夠?qū)Τ鞘薪煌▔毫?/p>

14、起 到積極作用,就必須采取有效的措施,這些是有關(guān)的交通部門需要加大重 視力度并進(jìn)行深入研究的問題。1.3單片機(jī)概述計算機(jī)技術(shù)日前有三個發(fā)展趨勢,這三個方向就是:巨型化,單片化, 網(wǎng)絡(luò)化。巨型計算機(jī)最主要的作用就是能夠完成比較難的系統(tǒng)計算以及高 速數(shù)據(jù)處理的任務(wù)。而巨型機(jī)技術(shù)正在從提高速度和處理能力這兩方面進(jìn) 行改進(jìn)。而單片機(jī)的全稱是嵌入式微控制器。從它的名字就能明顯體會到 它的作用,就是能夠嵌入其他的機(jī)器中進(jìn)行相關(guān)的控制作業(yè)。這一點是巨 型機(jī)和網(wǎng)絡(luò)不可能做到的單片機(jī)技術(shù)在接下來的技術(shù)改進(jìn)過程中,會逐漸重視以下幾個方面:(1)單片機(jī)的可靠性會得到進(jìn)一步改善,應(yīng)用的水平也逐漸提高, 還會和互聯(lián)網(wǎng)技

15、術(shù)結(jié)合起來共同發(fā)揮功能。(2)所集成的部件越來越多;在美國已經(jīng)實現(xiàn)了單片機(jī)的集成電路 功能,語音信息和圖像信息等都可以集成到單片機(jī),如果從功能上講它可 以講是萬用機(jī)。原因是其內(nèi)部已集成上各種應(yīng)用電路。(3)單片機(jī)消耗能量越來越少,能夠完成的功能越來越多。而且能 夠和各種模擬電路相連接。由于半導(dǎo)體技術(shù)被不斷發(fā)掘,系統(tǒng)也不斷被完善,單片機(jī)的功能還可 以不斷被發(fā)現(xiàn)和改進(jìn),直到最后,人們會察覺到單片機(jī)幾乎擁有微機(jī)所有 的功能。14方案的設(shè)計與論證在設(shè)計中,把單片機(jī)作為主要的控制元件,led數(shù)碼管用于倒計時顯 示,為了找到相對比較優(yōu)質(zhì)的設(shè)計方法,在深入研究了幾種功能模塊作用 后,討論了幾種方案。另外,在

16、系統(tǒng)設(shè)計過程,還針對不同道路交通狀況 的不同,對主干道和次干道紅綠燈顯示時長做了不同的設(shè)置,以提高效率, 緩減交通擁擠。系統(tǒng)總體設(shè)計框圖如圖所示。下面是交通信號燈整個系統(tǒng)的控制電路圖,在途中可以看到有多種不 同的電路控制部分構(gòu)成,比如電源電路,還有比較重要的時鐘電路以及不 可缺少的復(fù)位電路和按鍵電路以及數(shù)碼管顯示時間的電路控制,此外還包 括控制led信號燈的控制電路等。1.4.1電源提供方案為使模塊穩(wěn)定工作,須有可靠電源。下面是兩種思路產(chǎn)牛的不同設(shè)計方案:方案一:采用獨立的穩(wěn)壓電源。這種電源在使用過程中比較平穩(wěn), 供電比較可靠,而且有很多比較完善的電路可以使用:不足之處就是需要 給不同的模塊分

17、別進(jìn)行安裝,不僅會增加工作量還會把整個電路系統(tǒng)變得 很復(fù)雜,也會干擾到電路的電平。方案二:直接通過單片機(jī)來完成系統(tǒng)的供電。這種設(shè)計不會造成系統(tǒng) 的復(fù)雜化,而且還會使預(yù)算減少;缺點是輸出功率不高。綜上所述,選擇第二種方案。1.4.2顯示界面方案該系統(tǒng)要求完成倒計時功能。同樣這一部分也討論出兩種不同的方法: 方案一:完全采用點陣式led顯示??梢詫崿F(xiàn)各種功能需求,比如可以顯 示漢字,還可以顯示圖形等等,但是采用這種方案會增加很多的工作量。方案二:完全釆用數(shù)碼管顯示。這樣就大大減少了工作量,而口也能 夠滿足倒計時功能的實現(xiàn)。相比較來說,它的不足之處是能夠?qū)崿F(xiàn)的顯示 功能不多,可以完成一些簡單符號的顯

18、示,還可以實現(xiàn)數(shù)碼及字符的顯現(xiàn)。 本系統(tǒng)只需要完成倒計時顯示,比較之后選擇方案二。1.4.3輸入方案這里同樣討論了兩種方案:方案一:采用8155擴(kuò)展i/o 口、鍵盤及顯示等。這種設(shè)計不但編程方 式靈活多樣,而且還含有ram,內(nèi)包括計數(shù)器,絕對滿足i/o端口的需求, 只不過使用時不夠方便。方案二:直接在i/o 口線上接上按鍵開關(guān)。由于z前電路方案已經(jīng)相 當(dāng)簡明,不用擔(dān)心i/o端口不夠使用。在設(shè)計中,主要控制的元件是交通 信號燈還有數(shù)碼管的顯示,單片機(jī)已經(jīng)提供足夠的10資源,而且單片機(jī)所 含有的ram還有計數(shù)器完全能滿足系統(tǒng)的使用情況,所以采用二方案就 可以了。第二章系統(tǒng)硬件設(shè)計關(guān)于硬件設(shè)計需要顧

19、及到的使用需求較多,最主要的就是能夠完成交 通信號燈的基礎(chǔ)性功用,此外,下面幾方面的內(nèi)容也不能忽視,分別是: 系統(tǒng)穩(wěn)定度;器件的通用性或易選購性;軟件編程的易實現(xiàn)性; 系統(tǒng)其它功能及性能指標(biāo);因此硬件設(shè)計至關(guān)重要。2.1總體設(shè)計在設(shè)計中,單片機(jī)是主要的控制元件,在這里將功能分為幾個模塊, 分別進(jìn)行設(shè)計。這些功能模塊包括單片機(jī)控制模塊,還有倒計時模塊等等。單片機(jī)可以實現(xiàn)兩方面的功能,一個就是控制整個系統(tǒng)各功能的實現(xiàn), 另一個就是對相關(guān)的數(shù)據(jù)進(jìn)行處理。在單片機(jī)內(nèi),振蕩電路和復(fù)位電路缺 一不可。數(shù)碼管選用雙數(shù)碼管,數(shù)字可顯示到99。在本設(shè)計中,充分實現(xiàn)了良好的人機(jī)交流,多樣化的控制形式以及簡 單實用

20、的系統(tǒng)構(gòu)成。2.2單片機(jī)的選擇單片機(jī)屬于微型計算機(jī)中比較優(yōu)質(zhì)的一個機(jī)種,在控制領(lǐng)域的運用十 分廣泛,因此,也習(xí)慣稱單片機(jī)為微控制器。單片機(jī)最主要的部分就是一塊集成電路芯片,可以完成計算機(jī)最基礎(chǔ) 的功能。而且本身還帶有中央處理器以及i/o接口等電路,所以只耍有合 適的軟件程序,再加上必要的設(shè)備就是比較完整的單片機(jī)控制體系。單片機(jī)技術(shù)不斷發(fā)展,逐漸出現(xiàn)幾個方面的技術(shù)需求和發(fā)展趨勢。其發(fā)展趨勢不外乎以下幾個方面:1、多功能單片機(jī)為了實現(xiàn)更多的功能,就逐漸將存儲器和i/o 口集中到一起, 使用一塊芯片就能實現(xiàn)a/d轉(zhuǎn)換功能,pwm功能以及高速運行的i/o端口 等功能。為了實現(xiàn)特定領(lǐng)域的功能,單片機(jī)內(nèi)會

21、集成可用的控制設(shè)備,不再需 要使用很多的芯片。此外,八位的單片機(jī)也能夠集成led顯示器或者lcd 顯示器,甚至是vfd顯示驅(qū)動器。2、高效率和高性能自從在單片機(jī)中運用了 risc以及流水線和dsp技術(shù)后,單片機(jī)在處理 指令的速度方面還有工作效率方面都得到極大的進(jìn)步,比如:單片機(jī)的時 鐘頻率得到提高;此外,單片機(jī)能夠集成的功能也越來越多,能夠容納的 尋址能力以及ram等的元件的數(shù)量也越來越龐大。為了實現(xiàn)更多的功能,提高對系統(tǒng)資源的利用能力,于是在研究單片 機(jī)新程序方面結(jié)合了高級語言的編程。這種新方法的使用,不僅可以在較 短時間內(nèi)更加方便容易地完成單片機(jī)程序的開發(fā),還能夠提高單片機(jī)程序 的可讀性以

22、及可移植性,對于單片機(jī)功能的開發(fā)非常有幫助。3、低電壓和低功耗由于單片機(jī)是嵌入其他設(shè)備中發(fā)揮作用的,因此,研究出在比較低的 電壓供應(yīng)下完成相應(yīng)功能顯得極為重耍,當(dāng)然也耍降低能量消耗。再將 coms技術(shù)成功運用到單片機(jī)中,就實現(xiàn)了在1.2v或者0.9v的低電壓下工作,而功能消耗只需要ua級就可以了。這樣就能使單片機(jī)能夠在小電源的供電基礎(chǔ)下長時間被使用。4、低價格在生活各方面都有單片機(jī)的需求,使用比較廣泛,各單片機(jī)制造公司 不僅要想辦法完善單片機(jī)的功能,還要保持價格優(yōu)勢,那么整個單片機(jī)市 場的價格就比較低了。單片機(jī)使用領(lǐng)域非常廣泛,以下是單片機(jī)需求量比較大的幾個領(lǐng)域。(1) 家用電器領(lǐng)域比起老式的

23、數(shù)字模擬控制系統(tǒng),單片機(jī)控制的家用電器能夠?qū)崿F(xiàn)更多 的功能,而口操作也更加簡便,智能化特征更加顯著。(2) 辦公口動化領(lǐng)域由于單片機(jī)可以嵌入其它需要的設(shè)備中,因此,為了使很多辦公設(shè)備 更加自動化和智能化,使用更方便,就在計算機(jī)鍵盤還有打印機(jī)以及復(fù)印 機(jī)等辦公設(shè)備中嵌入單片機(jī)。(3) 商業(yè)應(yīng)用領(lǐng)域在商業(yè)系統(tǒng)中,為了提高相關(guān)設(shè)備的使用安全性和可靠性能,專門采 用單片機(jī)和其他設(shè)備構(gòu)成比較獨立密封的系統(tǒng),一般在商業(yè)領(lǐng)域,會經(jīng)常 使用單片機(jī)的一些設(shè)備有電子計量儀器,還有收款機(jī)以及安全檢測系統(tǒng)等 等,而且使用時能夠避免電腦病毒和電磁信號等的不良影響。(4) 工業(yè)自動化在工業(yè)口動化領(lǐng)域屮,通常會采用工程控制

24、計算機(jī),很多時候也要用 到單片機(jī)作為主要控制部件。(5) 智能儀表與集成智能傳感器為了滿足測量系統(tǒng)越來越高的存儲功能以及數(shù)據(jù)處理水平和智能化 的查詢聯(lián)網(wǎng)功能,就需耍單片機(jī)來控制這些測量系統(tǒng)。另外,如果把單片 機(jī)運用到傳感器中,就會變成智能化的傳感器,可以直接與計算機(jī)相連實 現(xiàn)信息傳輸。(6)現(xiàn)代交通與航空航天領(lǐng)域一般在這些要求較高的領(lǐng)域,比如電了顯示系統(tǒng)或者自動駕駛系統(tǒng)及 高級的通訊系統(tǒng)中,單片機(jī)都扮演著極其重要的智能角色。2.3單片機(jī)的基本結(jié)構(gòu)在設(shè)計中采用了 at89s52型的單片機(jī),這種八位的單片機(jī)消耗的功率 比較小,需耍供給的電壓也不高,還擁有性能比較好的coms,在其中還 有可實現(xiàn)編程

25、的flash,它能夠存儲8kb的容量,還有能夠進(jìn)行不斷擦寫 的eprom,這些元件都是運用高精度和不容易丟失的nuram高端技術(shù)制 作而成,此外,這種單片機(jī)可以和mcs-51兼容。所以,這種單片機(jī)具有 多功能,多優(yōu)點且成本低的特點,在許多控制領(lǐng)域都有廣泛的應(yīng)用。at89s52具有以下主要性能:1.8kb可改編程序flash存儲器;2全表態(tài)工作:024hz;3.256x8字節(jié)內(nèi)部ram;4.32個外部雙向輸入,輸出(i、0) 口;引腳說明如圖22。圖2-2 at89s52引腳說明引腳功能說明如下2:vcc:電源電壓。gnd:地。pod:這個八位的i/o端口一般用做地址或數(shù)據(jù)線的復(fù)用端口。當(dāng)它用于

26、輸出端口時,主要有強(qiáng)大的吸收電流的功能,然后就可以很順利的控制八 個邏輯門電路,當(dāng)在這個端口設(shè)置“1”,則可以用來輸入高阻抗。如果需耍探訪外部儲存器,p0 口能夠?qū)崿F(xiàn)分時轉(zhuǎn)換,還能夠做數(shù)據(jù)總 線,同時還可以啟動內(nèi)部的上拉電阻。當(dāng)flash在運作編程時,p0 口主要 作為指令的輸入端口,當(dāng)編程完成需要進(jìn)行校驗,p0 口乂作為指令的輸出 端口。p1 口:這是一個八位的雙向i/o端口,其內(nèi)部還有上拉電阻,這個端 口既能吸收電流也可以輸出電流,可以對四個邏輯門電路進(jìn)行控制,當(dāng)p1 口設(shè)置“1”,內(nèi)部電阻處于高電平,可以作輸入端口使用。此時,上拉電 阻在外部信號的影響下可以輸出電流。對于flash不論是

27、編程還是程序校 驗時,這個端口都只是輸入低8位的地址。p2 口: p2端口和p1端口的各種功能都一樣,只不過當(dāng)探訪16位外 儲存器的過程中,p2 口主要是輸出高八位的地址。而在探訪八位的外儲存 器的過程中,p2端口的內(nèi)容一直保持不變。p3 口: p3端口的作用和功能和p1端口一樣。除了那些基本功能外, p3端口還有第二功能,這些功能在表21中有詳細(xì)列舉。此外,當(dāng)flash 進(jìn)行快速的編程或者是程序校驗時,p3 口還是這些相關(guān)信號的輸入端。rst:復(fù)位輸入。在振蕩器運行過程屮,這個引腳會發(fā)出高電平信號, 并但持續(xù)超過兩個機(jī)器周期,此時就可以完成單片機(jī)復(fù)位的工作。ale/prog:在外存儲器被探訪

28、的過程中,ale作為輸出端口,可以輸 岀信號使低八位字節(jié)保持當(dāng)前的狀態(tài)。表2j p3 口的第二功能表當(dāng)ale不對外面的存儲器進(jìn)行探訪時,還是能夠輸岀一定頻率的正脈 沖信號,這個頻率還是占時鐘頻率的六分之一,所以ale可以用于輸送時 鐘信號,也可以實現(xiàn)定時的作用。耍注意的是:如果耍對外存儲器進(jìn)行探 訪,ale脈沖信號就會跳過一個。當(dāng)flash進(jìn)行編程時,ale還可以給它 輸送編程脈沖信號。如果不需要ale運行,也可以對sfr中8eh單元的do位置位。該位 置位后,只有一條movx和movc指令ale才會被激活。當(dāng)單片機(jī)忙于對 外部程序進(jìn)行控制或處理時,可以將ale設(shè)為無效,防止干擾。psen:

29、psen表示的是程序儲存允許,它主要用于輸送外程序存儲器 的讀選信號。如果外存儲器從單片機(jī)獲得相關(guān)命令,那么psen會在一個 機(jī)器周期內(nèi)連續(xù)發(fā)送兩個脈沖信號。與此同時,若是外存儲器被訪問,psen 就不會發(fā)送那兩個脈沖信號。ea/vpp:外部訪問允許。要滿足cpu只探訪外存儲器,那么一定要保 證ea端處于接地狀態(tài),即低電平。需要注意的是:當(dāng)編程加密的lb1時, 在復(fù)位過程中,ea端就會處于鎖存狀態(tài)。當(dāng)ea端呈現(xiàn)高電平時,cpu主 要處理內(nèi)存儲器的命令。如果flash在編程時,引腳就要添加跟器件相同的編程電壓vpp。xtal1:主耍作為振蕩器的反相放大器的輸入端口,同時也是內(nèi)部時鐘 發(fā)牛器的輸入

30、端口。xtal2:主要用于輸送出振蕩器反相放大器輸出的信號。2. 4單片機(jī)外圍電路設(shè)計2.4.1復(fù)位電路設(shè)計作為輸入引腳的rst促進(jìn)了 mcs51完成初始化,能夠制定位置,使 程序從此處對命令進(jìn)行處理。時鐘電路發(fā)出時鐘信號后,要實現(xiàn)復(fù)位功能 的完成,需耍rst在超過兩個機(jī)器周期后還保持高電平,此時mcs51就 會來回進(jìn)行復(fù)位操作,當(dāng)單ret不再輸出高電平,而是輸送低電平后, mcs-51就會停止復(fù)位操作,開始執(zhí)行命令。在設(shè)計中的服務(wù)電路使用的方 法是操作按鍵來實現(xiàn)此功能。2.2.2外部晶振時鐘電路設(shè)計mcs-51的時鐘信號由內(nèi)外兩部分都可以產(chǎn)生,內(nèi)部是由振蕩電路輸出。 為了減少操作任務(wù)量,在設(shè)

31、計中就采用內(nèi)部輸出時鐘信號的方法。從上一 部分的分析我們知道內(nèi)部振蕩器的構(gòu)成,主要就是一個反相放大器,另外, 再加上片外晶體也可以是陶瓷諧振器這部分的反饋元件,就可以形成一個 完整的放大器了。mcs-51雖然有內(nèi)部振蕩電路,但要形成時鐘,還是需要外部元件的支 持,因此上,在振蕩時鐘電路中還需要添加外部晶振,還要加兩個電容即 c1和c2,才能組成一個完整的并聯(lián)式的諧振電路,這個諧振電路是需要 和放大器的反饋電路相連接的。在這個電路中,電容的值滿足在20pf-100pf 的范圍內(nèi)就可以,這樣能夠保證振蕩器工作比較穩(wěn)定,也不會多大地干擾 振蕩器的頻率波動以及它的溫度變化??梢詫⑼獠烤д袼褂玫念l率設(shè)

32、置 在1.2mhz-12mhz范圍內(nèi)就可以。在滿足要求的前提下,將品振的頻率定 為12兆赫茲,電容的值設(shè)為30pf,能夠使計算步驟更加簡便。2.2.3顯示模塊電路設(shè)計在顯示模塊中,主耍的部分就是共陽led數(shù)碼管,數(shù)碼管顯示的基本 原理就是動態(tài)掃描。也就是cpu會讓三級管維持當(dāng)前的工作狀態(tài),此時10 端口用來指示數(shù)碼管的兩個端口即p20和p21都是低電平,那么三極管就 處于導(dǎo)通的狀態(tài),同時vcc就可以順利地提供電源,數(shù)碼管就會變亮,再 加上人的眼睛有一種視覺余留的特性,則看起來數(shù)碼管是同時被點亮的。為了滿足設(shè)計中關(guān)于通行時的時間顯示的需求,數(shù)碼管需要使用能夠 顯示兩位數(shù)字的,此外,為了節(jié)約成本,

33、并盡量減少硬件元件的空間占用, 可以采用掃描的方法,由于七段的數(shù)碼管需要分別有七個i/o端口來控制, 此外為了顯示兩位時間數(shù),還需耍安裝兩個電子開關(guān)進(jìn)行支持,那么又 需要兩個i/o端口進(jìn)行配合,一般在道路的十字路口,每個口都需要安裝 一組紅綠燈,總共就需要四組,還需要有黃色燈來指示轉(zhuǎn)向,加起來就有 十二個燈,每個都需要一個i/o端口,總共就是需要十二個端口,此外, 在緊急通行方向上,又需要兩個端口對按鈕進(jìn)行控制,另外,還要一個端 口來指示蜂鳴器,總的來說,i/o端口要用掉二十四個。為了滿足使用的 要求,單片機(jī)內(nèi)部最主要的中央處理器就選擇at89s52o因為這個單片機(jī) 的i/o端口在輸出的過程中

34、可以很好地利用電能,數(shù)碼管選擇共陽型可以 方便i/o直接對它進(jìn)行控制,也使得硬件電路更加簡單。第三章交通信號燈控制系統(tǒng)的設(shè)計現(xiàn)在的交通信號燈被分為3種顏色,分別是紅、綠、黃。紅色的代表 不能行使,綠色的代表可以通過,黃色的是在提醒。它的類型主要分為7 種,分別是閃光警告、人行橫道、方向指示、道路與鐵路平面交叉道口、 非機(jī)動車、機(jī)動車以及車道信號燈。如果交通信號燈在道路平面交叉路上, 就會給路人前進(jìn)和停止的信號,使得路口交通比較順暢,不會擁堵。所以 說,在路口主干道上,要有一個完善的信號燈系統(tǒng)。3.1十字路口交通信號燈具體的控制耍求信號燈不僅分散在每個方向上,而且每一個路口都有3個紅綠燈。如 果

35、說南北方向的綠燈亮起時,那么東西方向的綠燈就不能亮起,它們是不 能一起亮起的。反之,就應(yīng)該及時關(guān)閉信號燈,給予警報信息。信號燈的 流程是,第一步是南北方向的的紅燈亮起,并口保持30秒;第二步,東 西方向的綠燈亮起,保持25s時間,z后綠燈就熄滅了;第三步,東西黃 燈亮起,保持5s直到黃燈消失;第四步,南北方向的紅燈消失,綠燈亮起, 東西紅燈亮起,并維持30s;與此同時,南北綠燈亮并維持15。第五步, 南北方向綠燈消失,黃燈亮起5s后消失,南北方向紅燈亮起,綠燈熄滅。 這就是整個信號一個完整的運作,請看下面是交通信號燈的變化表:當(dāng)路口的信號燈閃亮?xí)r,數(shù)碼管就會一起顯示通行的倒計時時間,來 提示過

36、往行人或者車輛把握好時間快速通行,或者是等待多久可以通行。3.2十字路口交通信號燈示意圖下圖就是在十字路口各信號燈的排布情況:第四章交通信號燈控制系統(tǒng)程序編制4.1軟件設(shè)計應(yīng)用環(huán)境本設(shè)計軟件的設(shè)計是在keil c51的環(huán)境下編譯的。這種系統(tǒng)產(chǎn)自美國公司,屬于51單片機(jī)系列的產(chǎn)品,而且可以結(jié)合c語言編程軟件使用, 這種軟件含有大量的庫函數(shù),還有集成開發(fā)調(diào)試的工具,這些工具能夠?qū)?現(xiàn)很多功用。此外,keil c51運行效率特別高,而且它所顯示的匯編代碼 簡單易懂,不是很晦澀的內(nèi)容。尤其用于規(guī)模比較大的軟件開發(fā)中,更是 有著顯著的優(yōu)點。c語言有以下特點:1、語言簡潔、緊湊、使用方便、靈活。使用c語言編程,只需耍在 32個關(guān)鍵字和九種控制語句之間來回使用,能夠表達(dá)目標(biāo)就可以,而且在 編程時都是用小寫英文字母,使得程序編寫更加簡單。2、運算符豐富。c語言擁有比較多的運算符,計算下來多達(dá)三十四種, 因此,在編程過程中,可以有多種多樣的形式,還能夠完成其他的高級語 言不能做到的一些高難度運算。3、多樣化的數(shù)據(jù)體系,在這個系統(tǒng)中的數(shù)據(jù)結(jié)構(gòu)可以進(jìn)行各種復(fù)雜 的運算,融入了現(xiàn)代語言的操作體系。4、控制語句結(jié)構(gòu)嚴(yán)謹(jǐn),程序運作時能夠運用函數(shù)方程,進(jìn)行模塊化 的操作。5、在語言的設(shè)計

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論