EDA課程設(shè)計(汽車尾燈設(shè)計與實現(xiàn))_第1頁
EDA課程設(shè)計(汽車尾燈設(shè)計與實現(xiàn))_第2頁
EDA課程設(shè)計(汽車尾燈設(shè)計與實現(xiàn))_第3頁
EDA課程設(shè)計(汽車尾燈設(shè)計與實現(xiàn))_第4頁
EDA課程設(shè)計(汽車尾燈設(shè)計與實現(xiàn))_第5頁
已閱讀5頁,還剩20頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、 EDA課程設(shè)計 課題名稱:汽車尾燈的設(shè)計 院 系:信息科學(xué)與工程 專業(yè)班級: 姓 名: 學(xué) 號: 指導(dǎo)老師: 2013.12.20 目錄摘 要I第1章 選題依據(jù)11.1 汽車尾燈的國內(nèi)外發(fā)展現(xiàn)狀11.2 可編程器件的發(fā)展11.3 可編程器件在汽車電子上的運用21.4 設(shè)計內(nèi)容和目標(biāo)3EDA設(shè)計流程3第2章 EDA、VHDL簡介42.1 EDA技術(shù)42.1.1 EDA技術(shù)的概念42.1.2 EDA技術(shù)的特點42.1.3 EDA設(shè)計流程42.2 硬件描述語言(VHDL)42.2.1 VHDL簡介42.2.2 VHDL語言的特點5第3章 設(shè)計實現(xiàn)63.1 汽車尾燈控制器的工作原理63.1.1 功能

2、描述63.1.2 模塊設(shè)計6(1)汽車尾燈主控制模塊7(2)時鐘分頻模塊7(3)左側(cè)尾燈功能模塊7(4)右側(cè)尾燈功能模塊73.1.3 圖形元件原理圖83.2 主要VHDL源程序83.2.1汽車尾燈主控制模塊CTRL83.2.2時鐘分頻模塊SZ103.2.3右側(cè)尾燈控制模塊RC113.2.4左側(cè)尾燈控制模塊LC133.2.5頂層文件VHDL程序(tp.VHD)143.3 仿真圖及塊163.3.1各模塊的仿真波形圖16圖3-2 汽車尾燈主控制模塊CTRL163.3.2仿真波形分析20第4章 設(shè)計總結(jié)21參考文獻23I 第1章 選題依據(jù)1.1 汽車尾燈的國內(nèi)外發(fā)展現(xiàn)狀如今的時代,萬物日新月異。在汽車

3、領(lǐng)域中,這種變化也同樣存在。現(xiàn)在,汽車不僅僅是主要的代步工具之一,同時也是時尚和潮流的最好的體現(xiàn)。汽車尾燈是汽車的語言。更加靈敏的燈光信號可以更好的被人“讀懂”,更有效地對其他車輛的司機起到提醒作用,及時采取相應(yīng)的規(guī)避動作,從而讓駕駛更安全。同時尾燈更廣泛的應(yīng)用于科研項目。汽車尾燈在汽車信號燈具中占據(jù)重要地位,因為它們發(fā)出的信號顯示汽車行駛狀態(tài)和行駛軌跡即將發(fā)生變化,對汽車安全行駛肩負(fù)重要使命。近年來,汽車外形由于設(shè)計上的需要,空氣動力特性的提高以及美觀的需求,低側(cè)面且流線型的外形越來越受歡迎。因此,尾燈的形狀也朝著異型化、一體化方向發(fā)展,同時由于尾燈占用了汽車后車廂的體積,因此希望尾燈的前后

4、深度(即厚度)盡量薄,這樣設(shè)計上就需要將轉(zhuǎn)向燈、剎車燈、側(cè)車燈、倒車燈等各種燈具與車體融為一體,開發(fā)成一套組合燈具,因此對汽車尾燈反射鏡的形狀及采用的材料提出了更高的要求。在國外Lumileds Lighting公司這個月宣布的新Luxeon(R)III高性能LEDs都能通過單一LED提供穩(wěn)定的驅(qū)動給汽車尾燈,從而達到轉(zhuǎn)換變后車燈的性能效果。由于光學(xué)和包裝成本的降低,新190-流明橙紅燈III發(fā)光器可以用于建立更小型的壽命更長的后車燈照明系統(tǒng),價格比正常的白熾裝置的更具競爭性。超越美國交通運輸部FMVSS標(biāo)準(zhǔn),歐洲ECE標(biāo)準(zhǔn)和日本工業(yè)標(biāo)準(zhǔn)(JIS)鋪下了奠基石。這種新尾燈模跟飛利浦汽車照明公司

5、的產(chǎn)品相似,對單一化LED照明設(shè)計有著重要意義同時加速了各汽車制造商對LED照明解決方案的采用。1.2 可編程器件的發(fā)展PLD(programmable logic device)-可編程邏輯器件:PLD是作為一種通用集成電路生產(chǎn)的,它的邏輯功能按照用戶對器件編程決定。一般的PLD的集成度很高,足以滿足設(shè)計一般的數(shù)字系統(tǒng)的需要。這樣就可以由設(shè)計人員自行編程而把一個數(shù)字系統(tǒng)“集成”在一片PLD上,而不必去請芯片制造廠商設(shè)計和制作專用的集成電路芯片了。早期的可編程邏輯器件只有可編程只讀存貯器(PROM)、紫外線可按除只讀存貯器(EPROM)和電可擦除只讀存貯器(EEPROM)三種。由于結(jié)構(gòu)的限制,

6、它們只能完成簡單的數(shù)字邏輯功能。 這兩種器件兼容了PLD和通用門陣列的優(yōu)點,可實現(xiàn)較大規(guī)模的電路,編程也很靈活。與門陣列等其它ASIC相比,它們又具有設(shè)計開發(fā)周期短、設(shè)計制造成本低、開發(fā)工具先進、標(biāo)準(zhǔn)產(chǎn)品無需測試、質(zhì)量穩(wěn)定以及可實時在線檢驗等優(yōu)點,因此被廣泛應(yīng)用于產(chǎn)品的原型設(shè)計和產(chǎn)品生產(chǎn)(一般在10,000件以下)之中。幾乎所有應(yīng)用門陣列、PLD和中小規(guī)模通用數(shù)字集成電路的場合均可應(yīng)用FPGA和CPLD器件。1.3 可編程器件在汽車電子上的運用在過去的3到4年中,PLD在汽車領(lǐng)域得到了空前的發(fā)展。PLD已經(jīng)在汽車信息娛樂和通信市場上得到了廣泛應(yīng)用,新興的汽車輔助駕駛設(shè)計也采用了PLD。在這一領(lǐng)

7、域中,某些應(yīng)用發(fā)展非常迅速,包括道路偏離報警、夜視和胎壓監(jiān)控系統(tǒng)等。在今后5年中,預(yù)計PLD的增長至少為50%CAGR。PLD憑借其較低的成本結(jié)構(gòu)和較高的系統(tǒng)性能,進入了主流汽車市場。與SAAP解決方案不同,PLD所具有的靈活性在汽車行業(yè)中受到普遍歡迎。PLD具有較低的芯片成本結(jié)構(gòu)、豐富的知識產(chǎn)權(quán)(IP)內(nèi)核、參考設(shè)計以及較長的產(chǎn)品在市時間,而且PLD不存在ASIC那樣的前端流片(NRE)成本以及最小訂購量的問題,是系統(tǒng)設(shè)計成本效益的選擇,所以PLD是汽車市場發(fā)展的理想選擇。1.4 設(shè)計內(nèi)容和目標(biāo)EDA技術(shù)作為現(xiàn)代電子設(shè)計技術(shù)的核心,它依賴功能強大的計算機,所以本次設(shè)計的目的就是通過實踐深入理

8、解計算機組成原理,了解EDA技術(shù)并掌握VHDL硬件描述語言的設(shè)計方法和思想。以計算機組成原理為指導(dǎo),通過學(xué)習(xí)的VHDL語言結(jié)合電子電路的設(shè)計知識理論聯(lián)系本次的實習(xí)內(nèi)容汽車尾燈控制器的設(shè)計,掌握所學(xué)的課程知識和基本單元電路的綜合設(shè)計應(yīng)用,提高IC設(shè)計能力,提高分析、解決計算機技術(shù)實際問題的獨立工作能力。通過輸入系統(tǒng)時鐘信號和相關(guān)的汽車控制信號,汽車尾燈將正確顯示當(dāng)前汽車的控制狀態(tài)。(1)汽車尾部左右兩側(cè)各有多盞指示燈。(2)汽車正常行駛時指示燈都不亮。(3)汽車右轉(zhuǎn)彎時,右側(cè)的一盞指示燈亮。(4)汽車左轉(zhuǎn)彎時,左側(cè)的一盞指示燈亮。(5)汽車剎車時,左右兩側(cè)的一盞指示燈同時亮。(6)汽車在夜間行駛

9、時,左右兩側(cè)有指示燈同時一直亮,供照明使用。EDA設(shè)計流程1、文本/原理圖編輯與修改。2、編譯。 3、綜合。4、適配。5、 功能仿真和時序仿真。6、編程下載。把適配后生成的下載或配置文件,通過編程器或編程電纜向FPGA或CPLD下載以便進行調(diào)試和驗證。7、 硬件測試。22第2章 EDA、VHDL簡介2.1 EDA技術(shù)2.1.1 EDA技術(shù)的概念EDA是電子設(shè)計自動化(Electronic Design Automation)的縮寫,在20世紀(jì)90年代初從計算機輔助設(shè)計(CAD)、計算機輔助制造(CAM)、計算機輔助測試(CAT)和計算機輔助工程(CAE)的概念發(fā)展而來的。EDA技術(shù)就是以計算機

10、為工具,設(shè)計者在EDA軟件平臺上,用硬件描述語言HDL完成設(shè)計文件,然后由計算機自動地完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局、布線和仿真,直至對于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。2.1.2 EDA技術(shù)的特點利用EDA技術(shù)進行電子系統(tǒng)的設(shè)計,具有以下幾個特點: 用軟件的方式設(shè)計硬件; 用軟件方式設(shè)計的系統(tǒng)到硬件系統(tǒng)的轉(zhuǎn)換是由有關(guān)的開發(fā)軟件自動完成的; 設(shè)計過程中可用有關(guān)軟件進行各種仿真; 系統(tǒng)可現(xiàn)場編程,在線升級; 整個系統(tǒng)可集成在一個芯片上,體積小、功耗低、可靠性高。因此,EDA技術(shù)是現(xiàn)代電子設(shè)計的發(fā)展趨勢。2.1.3 EDA設(shè)計流程2.2 硬件描述語言(VHDL)2.2

11、.1 VHDL簡介VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)、行為、功能和接口。除了含有許多具有硬件特征的語句外,VHDL的語言形式和描述風(fēng)格與句法是十分類似于一般的計算機高級語言。VHDL的程序結(jié)構(gòu)特點是將一項工程設(shè)計,或稱設(shè)計實體(可以是一個元件,一個電路模塊或一個系統(tǒng))分成外部(或稱可是部分,及端口)和內(nèi)部(或稱不可視部分),既涉及實體的內(nèi)部功能和算法完成部分。在對一個設(shè)計實體定義了外部界面后,一旦其內(nèi)部開發(fā)完成后,其他的設(shè)計就可以直接調(diào)用這個實體。這種將設(shè)計實體分

12、成內(nèi)外部分的概念是VHDL系統(tǒng)設(shè)計的基本點。2.2.2 VHDL語言的特點1.用VHDL代碼而不是用原理圖進行設(shè)計,意味著整個電路板的模型及性能可用計算機模擬進行驗證。2.VHDL元件的設(shè)計與工藝無關(guān),與工藝獨立,方便工藝轉(zhuǎn)換。3.VHDL支持各種設(shè)計方法,自頂向下、自底向上或者混合的都可以。4.可以進行從系統(tǒng)級到邏輯級的描述,即混合描述。5.VHDL區(qū)別于其他的HDL,已形成標(biāo)準(zhǔn),其代碼在不同的系統(tǒng)中可交換建模。圖3-0 汽車尾燈控制工作原理圖第3章 設(shè)計實現(xiàn)應(yīng)用VHDL進行自頂向下的設(shè)計,是采用可完全獨立于目標(biāo)器件芯片物理結(jié)構(gòu)的硬件描述語言。就是使用VHDL模型在所有綜合級別上對硬件設(shè)計進

13、行說明、建模和仿真測試。其設(shè)計流程如下:(1)設(shè)計說明書(6)邏輯綜合(2)建立VHDL行為模型(7)測試向量生成(3)VHDL行為仿真(8)功能仿真(4)VHDL-RTL級建模(5)前端功能仿真設(shè)計完成(11)硬件測試(9)結(jié)構(gòu)綜合(10)門級時序仿真3.1 汽車尾燈控制器的工作原理3.1.1 功能描述汽車尾燈控制器就是一個狀態(tài)機的實例。正常行駛時所有的燈都不亮,當(dāng)汽車右轉(zhuǎn)彎時,右側(cè)燈RD1閃爍;左轉(zhuǎn)彎,左側(cè)燈LD1閃爍;剎車時,左側(cè)燈LD2和右側(cè)燈RD2同時亮;夜間行駛時,右側(cè)RD3和左側(cè)LD3同時亮;并不可能出現(xiàn)RD1 和LD1同時亮的情況。3.1.2 模塊設(shè)計根據(jù)系統(tǒng)設(shè)計要求,系統(tǒng)采用

14、自頂向下的設(shè)計方法,頂層設(shè)計采用原理圖設(shè)計的方式,它是由時鐘分頻模塊、汽車尾燈主控模塊、左邊燈控制模塊、右邊燈控制模塊四部分組成。如下所示:(1)汽車尾燈主控制模塊汽車尾燈主控模塊左側(cè)燈選擇控制模塊輸入信號右側(cè)燈選擇控制模塊LD1LD2RD1RD2RD3LD3(2)時鐘分頻模塊時鐘信號分頻模塊RD1LD1(3)左側(cè)尾燈功能模塊汽車尾燈主控模塊左側(cè)燈選擇控制模塊左轉(zhuǎn)彎控制信號LD1時鐘模塊時鐘信號(4)右側(cè)尾燈功能模塊右轉(zhuǎn)彎控制信號汽車尾燈主控模塊右側(cè)燈選擇控制模塊RD1時鐘模塊時鐘信號3.1.3 圖形元件原理圖 圖3-1 圖形元件原理圖3.2 主要VHDL源程序3.2.1汽車尾燈主控制模塊CT

15、RL數(shù)據(jù)入口:RIGHT:右轉(zhuǎn)信號;LEFT:左轉(zhuǎn)信號;BRAKE:剎車信號;NIGHT:夜間行駛信號;數(shù)據(jù)出口:LP:左側(cè)燈控制信號;RP:右側(cè)燈控制信號;LR:錯誤控制信號;BRAKE_LED:剎車控制信號;NIGHT_LED:夜間行駛控制信號;程序功能描述: 該段程序用于對汽車尾燈進行整體控制,當(dāng)輸入為左轉(zhuǎn)信號時,輸出左側(cè)燈控制信號;當(dāng)輸入為右轉(zhuǎn)信號時,輸出右側(cè)燈控制信號;當(dāng)同時輸入LEFT和RIGHT信號時,輸出錯誤控制信號。當(dāng)輸入為剎車信號時,輸出剎車控制信號;當(dāng)輸入為夜間行駛信號時,輸出為夜間行駛控制信號。具體操作:LIBRARY IEEE;USE IEEE.STD_LOGIC_1

16、164.ALL;ENTITY CTRL IS PORT(LEFT,RIGHT,BRAKE,NIGHT: IN STD_LOGIC; LP,RP,LR,BRAKE_LED,NIGHT_LED: OUT STD_LOGIC);END ENTITY CTRL;ARCHITECTURE ART OF CTRL IS BEGIN NIGHT_LED<=NIGHT; BRAKE_LED<=BRAKE; PROCESS(LEFT,RIGHT) VARIABLE TEMP:STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN TEMP:=LEFT&RIGHT; CASE

17、TEMP IS WHEN "00"=>LP<='0'RP<='0'LR<='0' WHEN "01"=>LP<='0'RP<='1'LR<='0' WHEN "10"=>LP<='1'RP<='0'LR<='0' WHEN OTHERS=>LP<='0'RP<='0'LR&

18、lt;='1' END CASE; END PROCESS;END ARCHITECTURE ART;3.2.2時鐘分頻模塊SZ數(shù)據(jù)輸入:CLK:時鐘輸入信號;數(shù)據(jù)輸出: CP:尾燈閃爍觸發(fā)信號;程序功能描述: 這塊的功能是對左右兩邊的LLED1、RLED1的閃爍時間間隔,以CLK為輸入信號, CP為輸出信號,在程序中定義一個八位節(jié)點信號COUNT來放計數(shù)值,當(dāng)CLK的上升沿到來時就開始計數(shù),最后將COUNT(3)給CP,實現(xiàn)對CLK的八分頻。 再將CP的電平信號分別和LEDL、LEDR電平與,最后用輸出的電平來控制汽車左右的LLED1、RLED1,實現(xiàn)左右轉(zhuǎn)的指示功能。 具體

19、操作:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SZ IS PORT(CLK: IN STD_LOGIC; CP: OUT STD_LOGIC);END ENTITY SZ;ARCHITECTURE ART OF SZ IS SIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN PROCESS(CLK) BEGIN IF CLK'EVENT AND CLK='1' THEN COUNT<=COUNT+1;

20、 END IF; END PROCESS; CP<=COUNT(3);END ARCHITECTURE ART;3.2.3右側(cè)尾燈控制模塊RC數(shù)據(jù)入口: CLK:時鐘控制信號;RP:右側(cè)燈控制信號;LR:錯誤控制信號;BRAKE:剎車控制信號;NIGHT:夜間行駛控制信號;數(shù)據(jù)出口:LEDR:右側(cè)RD1燈控制信號;LEDB:右側(cè)RD2燈控制信號;LEDN:右側(cè)RD3燈控制信號;程序功能描述: 本描述用于控制右側(cè)燈的亮、滅和閃爍情況,當(dāng)時鐘上升沿信號和右側(cè)燈控制信號或剎車控制信號或夜間行駛信號同時出現(xiàn)時,右側(cè)相應(yīng)的燈亮或出現(xiàn)閃爍。當(dāng)錯誤控制信號出現(xiàn)時,RD1燈不亮。具體操作:LIBRARY

21、 IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY RC IS PORT(CLK,RP,LR,BRAKE,NIGHT: IN STD_LOGIC; LEDR,LEDB,LEDN: OUT STD_LOGIC);END ENTITY RC;ARCHITECTURE ART OF RC IS BEGIN LEDB<=BRAKE; LEDN<=NIGHT; PROCESS(CLK,RP,LR) BEGIN IF CLK'EVENT AND CLK='1' THEN IF(LR='0') THEN IF(RP='

22、0') THEN LEDR<='0' ELSE LEDR<='1' END IF; ELSE LEDR<='0' END IF; END IF; END PROCESS;END ARCHITECTURE ART;3.2.4左側(cè)尾燈控制模塊LC數(shù)據(jù)入口: CLK:時鐘控制信號;LP:左側(cè)燈控制信號;LR:錯誤控制信號;BRAKE:剎車控制信號;NIGHT:夜間行駛控制信號;數(shù)據(jù)出口:LEDL:左側(cè)LD1燈控制信號;LEDB:左側(cè)LD2燈控制信號;LEDN:左側(cè)LD3燈控制信號;程序功能描述:本程序用于控制左側(cè)燈的亮、滅和閃

23、爍情況,當(dāng)時鐘上升沿信號和左側(cè)燈控制信號或剎車控制信號或夜間行駛信號同時出現(xiàn)時,左側(cè)相應(yīng)的燈亮或出現(xiàn)閃爍。當(dāng)錯誤控制信號出現(xiàn)時,LD1燈不亮。具體操作:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY LC IS PORT(CLK,LP,LR,BRAKE,NIGHT: IN STD_LOGIC; LEDL,LEDB,LEDN: OUT STD_LOGIC);END ENTITY LC;ARCHITECTURE ART OF LC IS BEGIN LEDB<=BRAKE; LEDN<=NIGHT; PROCESS(CLK,LP,LR)

24、BEGIN IF CLK'EVENT AND CLK='1' THEN IF(LR='0') THEN IF(LP='0') THEN LEDL<='0' ELSE LEDL<='1' END IF; ELSE LEDL<='0' END IF; END IF; END PROCESS;END ARCHITECTURE ART;3.2.5頂層文件VHDL程序(tp.VHD)Library ieee;Use ieee.std_logic_1164.all;Use ieee.s

25、td_logic_unsigned.all;Entity tp is Port(clk:in std_logic;Left:in std_logic;Right:in std_logic;Brake:in std_logic;Night:in std_logic;Ld1,ld2,ld3:out std_logic;Rd1,rd2,rd3:out std_logic);End;Architecture bh of tp is Component sz isPort(clk:in std_logic;Cp:out std_logic); End component; Component ctrl

26、isPort(left,right,brake,night:in std_logic;Lp,rp,lr,brake_led,night_led:out std_logic); End component; Component lc isPort(clk,lp,lr,brake,night:in std_logic;Ledl,ledb,ledn:out std_logic); End component; Component rc isPort(clk,rp,lr,brake,night:in std_logic;Ledr,ledb,ledn:out std_logic); End compon

27、ent;Signal tmp0,tmp1,tmp2,tmp3,tmp4:std_logic;Signal err0,err1,err2,err3,err4,err5:std_logic;signal bm:std_logic;BeginU1:sz port map(clk,bm);U2:ctrl port map(left,right,brake,night,tmp0,tmp1,tmp2,tmp3,tmp4);U3:lc port map(clk,tmp0,tmp2,tmp3,tmp4,err0,err1,err2);U4:rc port map(clk,tmp1,tmp2,tmp3,tmp4

28、,err3,err4,err5);Ld1<=err0 and bm;Ld2<=err1;Ld3<=err2;Rd1<=err3 and bm;Rd2<=err4;Rd3<=err5;End3.3 仿真圖及塊3.3.1各模塊的仿真波形圖 圖3-2 汽車尾燈主控制模塊CTRL 圖3-3 汽車尾燈控制部件模塊 圖3-4 時鐘分頻模塊SZ 圖3-5 時鐘分頻部件模塊 圖3-6 右側(cè)尾燈控制模塊RC 圖3-7 右側(cè)尾燈控制部件模塊 圖3-8 左側(cè)尾燈控制模塊LC 圖3-9左側(cè)尾燈控制部件模塊 圖3-10 Top3.3.2仿真波形分析 輸入剎車信號一直為高電平,輸出LD

29、2燈和RD2燈也為長亮;左轉(zhuǎn)信號為高電平時,LD1燈閃爍,右轉(zhuǎn)信號為高電平時,RD1燈閃爍;當(dāng)左轉(zhuǎn)信號和右轉(zhuǎn)信號同時為高電平時,LD1燈和RD1燈都不亮;夜間行駛信號為高電平時,LD3燈和RD3燈同時亮。波形仿真結(jié)果滿足預(yù)期的功能。 第4章 設(shè)計總結(jié)EDA技術(shù)及VHDL語言基于VHDL語言的十進制等精度頻率計的設(shè)計,采用VHDL 語言,運用自頂向下的設(shè)計思想,將系統(tǒng)按功能逐層分割的層次化設(shè)計方法。軟件平臺是ALTERA公司的Quartus II。通過課程設(shè)計,本次課程設(shè)計的主要目的是培養(yǎng)學(xué)生掌握使用EDA(電子設(shè)計自動化)工具設(shè)計數(shù)字邏輯的方法,包括設(shè)計輸入、編譯、軟件仿真、下載和硬件仿真等全

30、過程。在第一周做準(zhǔn)備及查找資料,通過網(wǎng)絡(luò)和圖書館的書籍查找了大量關(guān)于等精度頻率計設(shè)計的資料,同時自己把所作項目分析清楚為第二周做課程設(shè)計提供充分時間及做這個項目的能力。第二丶三周進入狀態(tài)全心投入,根據(jù)自己平時所學(xué)對程序進行調(diào)試,分析項目按照自己的方案一步一步做,把項目分成幾個模塊并清楚每一個模塊的功能,并根據(jù)每個模塊的功能得到每個模塊的組成,然后對每個模塊編程序或做原理圖文件編譯仿真來驗證自己所預(yù)想的功能,每一塊都達到自己所預(yù)想的功能后,再重新來,把它們作為子程序保存時不創(chuàng)建工程,再建立一個原理圖文件并把它作為頂層文件,建立工程時把上面的四個模塊程序加進去,創(chuàng)建完工程后把四個模塊建立成符號文件,再調(diào)用做出項目。通過本次課程設(shè)計,我們對EDA技術(shù)有了更深的了解,初步學(xué)會了采用自頂向下的系統(tǒng)設(shè)計方法設(shè)計系統(tǒng),并熟練掌握了利用VHDL語言進行簡單的電路模塊設(shè)計。經(jīng)過三周的實驗設(shè)計,曲折可謂一語難盡。在此期間我們也失落過,也曾一度熱情高漲。從開始時滿富盛激情到最后汗水背后的復(fù)雜心情,點點滴滴無不令我回味無長。生活就是

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論