論文:組合邏輯電路的設(shè)計(jì)_第1頁
論文:組合邏輯電路的設(shè)計(jì)_第2頁
論文:組合邏輯電路的設(shè)計(jì)_第3頁
論文:組合邏輯電路的設(shè)計(jì)_第4頁
免費(fèi)預(yù)覽已結(jié)束,剩余1頁可下載查看

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、.啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊實(shí)驗(yàn)五 組合邏輯電路的設(shè)計(jì)一,試驗(yàn)?zāi)康?,掌握組合邏輯電路的設(shè)計(jì)方法.2,掌握組合邏輯

2、電路的靜態(tài)測試方法.3,熟悉CPLD設(shè)計(jì)的過程,比較原理圖輸入和文本輸入的優(yōu)劣.二,.啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊組

3、合邏輯電路的設(shè)計(jì)啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊實(shí)驗(yàn)五 組合邏輯電路的設(shè)計(jì)一、試驗(yàn)?zāi)康?、掌握組合邏輯電路的設(shè)計(jì)方法。、掌

4、握組合邏輯電路的靜態(tài)測試方法。、熟悉CPLD設(shè)計(jì)的過程,比較原理圖輸入和文本輸入的優(yōu)劣。二、實(shí)驗(yàn)的硬件要求、輸入:按鍵開關(guān)(常高)個(gè);撥碼開關(guān)位。、輸出:LED燈。、主芯片:Altera EPM7128SLC84-15。三、實(shí)驗(yàn)內(nèi)容、設(shè)計(jì)一個(gè)四舍五入判別電路,其輸入為8421BCD碼,要求當(dāng)輸入大于或等于時(shí),判別電路輸出為,反之為。、設(shè)計(jì)四個(gè)開關(guān)控制一盞燈的邏輯電路,要求改變?nèi)我忾_關(guān)的狀態(tài)能夠引起燈亮滅狀態(tài)的改變。(即任一開關(guān)的合斷改變原來燈亮滅的狀態(tài))、設(shè)計(jì)一個(gè)優(yōu)先排隊(duì)電路,其框圖如下:排隊(duì)順序:A=1 最高優(yōu)先級B=1 次高優(yōu)先級C=1 最低優(yōu)先級要求輸出端最多只能有一端為“”,即只能是優(yōu)

5、先級較高的輸入端所對應(yīng)的輸出端為“”。四、實(shí)驗(yàn)連線、四位撥碼開關(guān)連D3、D2、D1、D0信號對應(yīng)的管腳。OUT輸出信號管腳接LED燈。、四位按鍵開關(guān)分別連K1、K2、K3、K4信號對應(yīng)的管腳。OUT輸出信號管腳接LED燈。、A、B、C信號對應(yīng)管腳分別連三個(gè)按鍵開關(guān)。輸出A_Out、B_Out、C_Out信號對應(yīng)的管腳分別連三個(gè)LED燈。(具體管腳參數(shù)由底層管腳編輯決定)五、參考原理圖1、原理圖,如圖5-1所示:圖5-1AHDL硬件描述語言輸入:SUBDESIGN t5_1( d0,d1,d2,d3:INPUT; out: OUTPUT;)BEGIN IF( (d3,d2,d1,d0) >

6、= 5 ) THEN out=VCC; ELSE out=GND; END IF;圖5-2END;2、原理圖,如圖5-2所示:AHDL硬件描述語言輸入:SUBDESIGN t5_2( k0,k1,k2,k3:INPUT; out: OUTPUT;)BEGIN TABLE (k3,k2,k1,k0) => out;B"0000"=> GND;B"0001" => VCC;B"0011"=> GND;B"0010"=> VCC;B"0110"=> GND;B&qu

7、ot;0111"=> VCC;B"0101"=> GND;B"0100"=> VCC;B"1100"=> GND;B"1101"=> VCC;B"1111"=> GND;B"1110"=> VCC;B"1010"=> GND;B"1011"=> VCC;B"1001"=> GND;B"1000"=> VCC; END TA

8、BLE;END;圖5-33、原理圖,如圖5-3所示:AHDL硬件描述語言輸入:SUBDESIGN t5_3( a,b,c :INPUT; a_out,b_out,c_out: OUTPUT;)BEGIN IF a THEN a_out=VCC; b_out=GND; c_out=GND; ELSIF b THEN a_out=GND; b_out=VCC; c_out=GND; ELSIF c THEN a_out=GND; b_out=GND; c_out=VCC; ELSE a_out=GND; b_out=GND; c_out=GND; END IF;END;六、實(shí)驗(yàn)報(bào)告要求1、對于原理

9、圖設(shè)計(jì)要求有設(shè)計(jì)過程。2、詳細(xì)論述實(shí)驗(yàn)步驟。3、寫一些對比兩種硬件設(shè)計(jì)輸入法的優(yōu)劣的心得。*;啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊

10、啊啊啊組合邏輯電路的設(shè)計(jì)啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊實(shí)驗(yàn)五 組合邏輯電路的設(shè)計(jì)一,試驗(yàn)?zāi)康?,掌握組合邏輯電路的設(shè)計(jì)方法.2,掌握組合邏輯電路的靜態(tài)測試方法.3,熟悉CPLD設(shè)計(jì)的過程,比較原理圖輸入和文本輸入的優(yōu)劣.二,.啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊啊

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論