PLC控制的電梯設(shè)計(jì)_第1頁(yè)
PLC控制的電梯設(shè)計(jì)_第2頁(yè)
PLC控制的電梯設(shè)計(jì)_第3頁(yè)
PLC控制的電梯設(shè)計(jì)_第4頁(yè)
PLC控制的電梯設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩54頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、濟(jì)南職業(yè)學(xué)院摘要隨著城市建設(shè)的不斷發(fā)展,高層建筑不斷增多。電梯作為高層建筑中垂直運(yùn)行的交通工具已與人們的日常生活密不可分。目前電梯控制系統(tǒng)主要有三種控制方式:繼電路控制系統(tǒng)(“早期安裝的電梯多位繼電器控制系統(tǒng))、PLC控制系統(tǒng)、微機(jī)控制系統(tǒng)。繼電器控制系統(tǒng)由于故障率高、可靠性差、控制方式不靈活以及消耗功率大等缺點(diǎn),目前已逐漸被淘汰。微機(jī)控制系統(tǒng)雖在智能控制方面有較強(qiáng)的功能,但也存在抗擾性差,系統(tǒng)設(shè)計(jì)復(fù)雜,一般維修人員難以掌握其維修技術(shù)等缺陷。而PLC 控制系統(tǒng)由于運(yùn)行可靠性高,使用維修方便,抗干擾性強(qiáng),設(shè)計(jì)和調(diào)試周期較短等優(yōu)點(diǎn),倍受人們重視等優(yōu)點(diǎn),已成為目前在電梯控制系統(tǒng)中使用最多的控制方式。

2、為了提高自動(dòng)控制系統(tǒng)的可靠性和設(shè)備的工作效率,設(shè)計(jì)了一套以PLC為核心控制器的電梯自動(dòng)控制系統(tǒng),用來(lái)取代以往的較復(fù)雜的繼電器接觸器控制。系統(tǒng)的核心部分(控制部分)使用了日本三菱公司生產(chǎn)的FX2N-80MR型PLC,因?yàn)樵诤诵目刂撇糠植捎玫氖擒浖绦蚩刂疲瑥亩诒WC電梯正常運(yùn)行這個(gè)要求的情況下,大大的提高了電梯故障檢查與維修的方便性和容易性,同時(shí)還克服了手動(dòng)操作所帶來(lái)的一些人為干擾因素,取得了良好的經(jīng)濟(jì)效益和社會(huì)效益。關(guān)鍵詞:PLC;電梯;邏輯控制;程序設(shè)計(jì) 0 目錄前言5第一章電梯的概述71.1電梯的發(fā)展簡(jiǎn)史71.2電梯的運(yùn)行工作情況81.3電梯控制系統(tǒng)的組成9第二章 三菱FX2N 系列可編程

3、序控制器介紹92.1可編程控制器的基礎(chǔ)認(rèn)識(shí)92.2可編程序控制器的工作方式及編程語(yǔ)言122.2.1PLC的工作方式122.2.2PLC的編程語(yǔ)言14第三章交流雙速電梯的電氣設(shè)計(jì)153.1交流雙速電梯的基本工作原理153.1.1交流雙速電梯的主電路153.1.2電梯的主要電氣設(shè)備163.2輸入輸出設(shè)計(jì)19第四章PLC梯形圖設(shè)計(jì)214.1程序說(shuō)明214.1.1算法說(shuō)明214.1.2程序中相關(guān)存儲(chǔ)單元及中間繼電器的說(shuō)明214.2主程序設(shè)計(jì)244.2.1上下行指示燈輸出環(huán)節(jié)244.2.2反向樓層號(hào)預(yù)處理環(huán)節(jié)244.2.3樓層信號(hào)寫(xiě)入環(huán)節(jié)244.2.4呼叫信號(hào)寫(xiě)入環(huán)節(jié)274.2.5定向和等待信號(hào)設(shè)定環(huán)節(jié)

4、294.2.6上行啟動(dòng)加速環(huán)節(jié)294.2.7下行啟動(dòng)加速環(huán)節(jié)304.2.8停止信號(hào)產(chǎn)生環(huán)節(jié)314.2.9轎箱減速過(guò)程環(huán)節(jié)324.2.10門(mén)控環(huán)節(jié)334.2.11上行或等待狀態(tài)反向信號(hào)處理環(huán)節(jié)364.2.12下行或等待狀態(tài)反向信號(hào)處理環(huán)節(jié)364.2.13上行同向信號(hào)處理環(huán)節(jié)394.2.14下行同向信號(hào)處理環(huán)節(jié)404.2.15內(nèi)部呼叫信號(hào)處理環(huán)節(jié)414.2.16子程序調(diào)用環(huán)節(jié)424.3子程序功能說(shuō)明444.3.1上行表排隊(duì)子程序模塊444.3.2下行表排隊(duì)子程序模塊464.3.3查詢上行表最大值子程序模塊494.3.4查詢下行表最小值子程序模塊494.3.5上行表已完成信號(hào)消除子程序模塊514.3

5、.6下行表已完成信號(hào)消除子程序模塊52致 謝57參考文獻(xiàn)58前言隨著現(xiàn)代城市的發(fā)展,高層建筑日益增多,電梯成為人們?nèi)粘I畋夭豢缮俚拇焦ぞ?。電梯性能的好壞?duì)人們生活的影響越來(lái)越顯著,因此必須努力提高電梯系統(tǒng)的性能,保證電梯的運(yùn)行及高效節(jié)能又安全可靠??删幊炭刂破鳎≒LC)是根據(jù)順序邏輯控制的需要而發(fā)展起來(lái)的,是專門(mén)為工業(yè)環(huán)境應(yīng)用而設(shè)計(jì)的數(shù)字運(yùn)算裝置的電子裝置。鑒于其種種有點(diǎn),目前,電梯的繼電器控制方式已逐漸被PLC控制代替。但是,現(xiàn)在很多PLC控制電梯的程序設(shè)計(jì)思想還是源自于傳統(tǒng)繼電器的組合邏輯設(shè)計(jì)法,程序結(jié)構(gòu)比較復(fù)雜,并且很難完成比較高級(jí)的控制功能,使系統(tǒng)的控制功能不易增加,技術(shù)水平難以提

6、高。本設(shè)計(jì)充分發(fā)揮PLC在數(shù)據(jù)運(yùn)算和數(shù)據(jù)處理方面的優(yōu)勢(shì),采用純數(shù)字式控制方法,能夠非常準(zhǔn)確、高效地實(shí)現(xiàn)電梯上行、下行以及電梯門(mén)開(kāi)關(guān)等控制功能,并具有智能分析樓層信號(hào)能力以及良好的可擴(kuò)展性。本系統(tǒng)采用的是“雙排序表查詢算法”。所謂的“雙排序表”,即設(shè)定兩個(gè)數(shù)據(jù)表,一個(gè)專門(mén)存儲(chǔ)上行信號(hào)的升序排列的數(shù)據(jù)表和一個(gè)專門(mén)存儲(chǔ)下行信號(hào)的降序排列的數(shù)據(jù)表,電梯完全按照兩個(gè)數(shù)據(jù)表中的數(shù)據(jù)運(yùn)行。采用這種控制策略有如下優(yōu)點(diǎn):首先,由于電梯的??亢蛦?dòng)方向是由表中的數(shù)據(jù)來(lái)指定,而非傳統(tǒng)的組合邏輯控制方式。這樣就有效地避免了由于邏輯錯(cuò)誤而導(dǎo)致一系列如漏停、多停等電梯運(yùn)行故障。增加了電梯的可靠性和運(yùn)行穩(wěn)定性,并減少了維護(hù)

7、成本。其次,用數(shù)據(jù)表的方式存儲(chǔ)呼叫信號(hào),可以方便地通過(guò)各種優(yōu)化算法對(duì)這些呼叫信號(hào)進(jìn)行優(yōu)化處理,使電梯的運(yùn)行更加智能化,提高電梯運(yùn)送乘客的工作效率,同時(shí)也達(dá)到了節(jié)省能源的目的。最后,純數(shù)字式的處理方法有效地避免了傳統(tǒng)設(shè)計(jì)方法中的組合邏輯爆炸的風(fēng)險(xiǎn),可以使系統(tǒng)高效地運(yùn)行在高樓層電梯系統(tǒng)中,而不用擔(dān)心負(fù)擔(dān)過(guò)重而導(dǎo)致系統(tǒng)癱瘓甚至崩潰。這種控制算法具有非常優(yōu)良的可擴(kuò)展和可升級(jí)性。只要稍加修改,便可將其移植到其他的電梯控制系統(tǒng)中。本論文著重闡述了“雙排序表查詢算法”的工作原理,以及此算法用三菱FX2n系列PLC編程語(yǔ)言實(shí)現(xiàn)的方法。第一章電梯的概述隨著人口的增加,科學(xué)技術(shù)日新月異地發(fā)展,人們物質(zhì)文化生活水平

8、的逐步提高,建筑業(yè)得以迅速發(fā)展,大批的高樓大廈拔地而起,十幾層至幾十層的賓館、飯店、辦公樓、住宅比比皆是。伴隨建筑業(yè)的發(fā)展,為建筑內(nèi)提供上下交通運(yùn)輸?shù)碾娞莨I(yè)也在日新月異地發(fā)展著。電梯已不僅是一種生產(chǎn)環(huán)節(jié)中的重要設(shè)備,更是一種工作和生活中的必需設(shè)備,完全可以預(yù)想到,隨著社會(huì)的發(fā)展,電梯產(chǎn)品在人們物質(zhì)文化生活中的地位將和汽車一樣,成為重要的運(yùn)輸設(shè)備之一。1.1電梯的發(fā)展簡(jiǎn)史據(jù)國(guó)外有關(guān)資料介紹,公元前2800年在古代埃及,為了建筑當(dāng)時(shí)的金字塔,曾使用過(guò)由人力驅(qū)動(dòng)的升降機(jī)械。公元1765年瓦特發(fā)明了蒸汽機(jī)后,1858年美國(guó)研制出以蒸汽為動(dòng)力,并通過(guò)帶傳動(dòng)和蝸輪減速裝置驅(qū)動(dòng)的電梯。1878年英國(guó)的阿姆

9、斯特朗發(fā)明了水壓梯。并隨著水壓梯的發(fā)展,淘汰了蒸汽梯。后來(lái)又出現(xiàn)了液壓泵和控制閥以及直接柱塞式和側(cè)柱塞式結(jié)構(gòu)的液壓梯。這種液壓梯至今仍為人們所采用。但是,電梯得以興盛發(fā)展的根本原因在于采用了電力作為動(dòng)力來(lái)源。18世紀(jì)末發(fā)明了電機(jī),并隨著電機(jī)技術(shù)的發(fā)展,19世紀(jì)初開(kāi)始使用交流異步單速和雙速電動(dòng)機(jī)作動(dòng)力的交流電梯,特別是交流雙速電動(dòng)機(jī)的出現(xiàn),顯著改善了電梯的工作性能。在20世紀(jì)初,美國(guó)奧的斯電梯公司首先使用直流電動(dòng)機(jī)作為動(dòng)力,生產(chǎn)出以槽輪式驅(qū)動(dòng)的直流電梯,從而為后來(lái)的高速度、高行程電梯的發(fā)展奠定了基礎(chǔ)。20世紀(jì)30年代美國(guó)紐約市的102層摩天大樓建成,美國(guó)奧的斯電梯公司為這座大樓制造和安裝了74臺(tái)

10、速度為6.0m/s的電梯。從此以后,電梯這個(gè)產(chǎn)品,一直在日新月異地發(fā)展著。目前的電梯產(chǎn)品,不但規(guī)格品種多,自動(dòng)化程度高,而且安全可靠,乘坐舒適。隨著電子工業(yè)的發(fā)展,可編程序控制器(PLC)和電子計(jì)算機(jī)成功地應(yīng)用到電梯的電氣控制系統(tǒng)中去后,電梯產(chǎn)品的質(zhì)量和運(yùn)行效果顯著提高。1.2電梯的運(yùn)行工作情況一部電梯主要由轎廂、配重、曳引機(jī)、控制柜箱、導(dǎo)軌等主要部件組成。電梯在做垂直運(yùn)行的過(guò)程中,有起點(diǎn)站也有終點(diǎn)站。對(duì)于三層以上建筑物內(nèi)的電梯,起點(diǎn)站和終點(diǎn)站之間還設(shè)有??空?。起點(diǎn)站設(shè)在一樓,終點(diǎn)站設(shè)在最高樓。各站的廳外設(shè)有召喚箱,箱上設(shè)置有供乘用人員召喚電梯用的召喚按鈕。一般電梯在起點(diǎn)站和終點(diǎn)站上各設(shè)置一個(gè)

11、按鈕,中間層站的召喚箱上各設(shè)置兩個(gè)按鈕。而電梯的轎廂內(nèi)都設(shè)置有(雜物電梯除外)操縱箱,操縱箱上設(shè)置有手柄開(kāi)關(guān)或與層站對(duì)應(yīng)的按鈕,供司機(jī)或乘用人員控制電梯上下運(yùn)行。召喚箱上的按鈕稱外召喚按鈕,操縱箱上的按鈕稱指令按鈕。電梯的運(yùn)行工作情況和汽車有共同之處,但是汽車的起動(dòng)、加速、??康热克緳C(jī)控制操作,而且在運(yùn)行過(guò)程中可能遇到的情況比較復(fù)雜,因此汽車司機(jī)必須經(jīng)過(guò)嚴(yán)格的培訓(xùn)和考核。而電梯的自動(dòng)化程度比較高,一般電梯的司機(jī)或乘用人員只需通過(guò)操縱箱上的按鈕向電氣控制系統(tǒng)下達(dá)一個(gè)指令信號(hào),電梯就能自動(dòng)關(guān)門(mén)、定向、起動(dòng)、在預(yù)定的層站平層停靠開(kāi)門(mén)。對(duì)于自動(dòng)化程度高的電梯,司機(jī)或乘用人員一次還可下達(dá)一個(gè)以上的指令

12、信號(hào),電梯便能依次起動(dòng)和停靠,依次完成全部指令任務(wù)。盡管電梯和汽車在運(yùn)算工作過(guò)程中有許多不同的地方,但仍有許多共同之處,其中乘客電梯的運(yùn)行工作情況類似公共汽車,在起點(diǎn)站和終點(diǎn)站之間往返運(yùn)行,在運(yùn)行方向前方的??空旧嫌许樝虻闹噶钚盘?hào)時(shí),電梯到站能自動(dòng)平層??块_(kāi)門(mén)接乘客。而載貨電梯的運(yùn)行工作情況則類似卡車,執(zhí)行任務(wù)為一次性的,司機(jī)或乘用人員控制電梯上下運(yùn)行時(shí)一般一次只能下達(dá)一個(gè)指令任務(wù),當(dāng)一個(gè)指令任務(wù)完成后才能再下達(dá)另一個(gè)指令任務(wù)。在執(zhí)行任務(wù)的過(guò)程中,從一個(gè)層站出發(fā)到另一個(gè)層站時(shí),假若中間層站出現(xiàn)順向指令信號(hào),一般都不能自動(dòng)停靠,所以載貨電梯的自動(dòng)化程度比乘客電梯低。1.3電梯控制系統(tǒng)的組成 第二

13、章 三菱FX2N 系列可編程序控制器介紹2.1可編程控制器的基礎(chǔ)認(rèn)識(shí)1. 三菱FX2N PLC的主要特點(diǎn):1)集成型高性能。CPU、電源、輸入輸出三為一體。 對(duì)6種基本單元,可以以最小8點(diǎn)為單元連接輸入輸出擴(kuò)展設(shè)備,最大可以擴(kuò)展輸入輸出256點(diǎn)。 2)高速運(yùn)算 基本指令:0.08s指令 應(yīng)用指令:1.52幾百s指令 3)安心、寬裕的存儲(chǔ)器規(guī)格 內(nèi)置8000步RAM存貯器 安裝存儲(chǔ)盒后,最大可以擴(kuò)展到16000步。 4)豐富的軟元件范圍 輔助繼電器:3072點(diǎn),定時(shí)器:256點(diǎn),計(jì)數(shù):235點(diǎn) 數(shù)據(jù)寄存器;8000點(diǎn) 5)除了具有輸入輸出16256點(diǎn)的一般速途,還有模擬量控制、定位控制等特殊控制

14、。 6)面向海外的產(chǎn)品適合各種安全規(guī)格為大量實(shí)際應(yīng)用而開(kāi)發(fā)的特殊功能: 開(kāi)發(fā)了各個(gè)范圍的特殊功能模塊以滿足不同的需要-模擬IO,高速計(jì)數(shù)器。對(duì)每一個(gè)FX2n主單元可配置總計(jì)達(dá)8個(gè)特殊功能模塊。 2. PLC的性能指標(biāo)和分類1) PLC的主要性能指標(biāo)l (1)輸入輸出點(diǎn)數(shù)(IO點(diǎn)數(shù))IO點(diǎn)數(shù)是指可編程序控制器外部輸入、輸出端子數(shù)的總和。它標(biāo)志著可以接多少個(gè)開(kāi)關(guān)、按鈕和可以控制多少個(gè)負(fù)載。l (2)存儲(chǔ)容量存儲(chǔ)容量是指可編程序控制器內(nèi)部用于存放用戶程序的存儲(chǔ)器容量,一般以步為單位,二進(jìn)制16位即一個(gè)字為一步。l (3)掃描速度一般以執(zhí)行1000步指令所需時(shí)間來(lái)衡量,單位為ms/k步,也有以執(zhí)行一步

15、指令所需時(shí)間來(lái)計(jì)算的,單位用µs/步。l (4)功能擴(kuò)展能力可編程序控制器除了主模塊之外,通常都可配備一些可擴(kuò)展模塊,以適應(yīng)各種特殊應(yīng)用的需要,如AD模塊、DA模塊、位置控制模塊等。l (5)指令系統(tǒng)指令系統(tǒng)是指一臺(tái)可編程序控制器指令的總和,它是衡量可編程序控制器功能強(qiáng)弱的主要指標(biāo)。2) PLC的分類通常,PLC產(chǎn)品可按結(jié)構(gòu)形式、控制規(guī)模等進(jìn)行分類。按結(jié)構(gòu)形式不同, 可以分為整體式和模塊式兩類。按控制規(guī)模大小、則可以分為小型、中型和大型PLC三種類型。3. PLC系統(tǒng)的組成PLC是一種以微處理器為核心的工業(yè)通用自動(dòng)控制裝置,其硬件結(jié)構(gòu)與微型計(jì)算機(jī)控制系統(tǒng)相似。PLC也是由硬件系統(tǒng)和軟

16、件系統(tǒng)兩大部分組成的。1) PLC的硬件結(jié)構(gòu)一套PLC系統(tǒng)在硬件上由基本單元(包含中央處理單元、存儲(chǔ)器、輸入輸出接口、內(nèi)部電源)、IO擴(kuò)展單元及外部設(shè)備組成。圖2-1為PLC的硬件結(jié)構(gòu)圖。輸入電路CPUEPROMRAM輸出電路基本單元內(nèi)部電源擴(kuò)展I/O接口各種外設(shè)接口輸出信號(hào)現(xiàn)場(chǎng)輸入信號(hào)主機(jī)編程器打印機(jī)PC機(jī)輸入電路輸出電路擴(kuò)展單元輸出信號(hào)擴(kuò)展連接電纜至其他擴(kuò)展單元現(xiàn)場(chǎng)輸入信號(hào) 圖2-1 PLC的硬件結(jié)構(gòu)圖2) PLC的軟件PLC的軟件系統(tǒng)指PLC所使用的各種程序的集合,它由系統(tǒng)程序(系統(tǒng)軟件)和用戶程序(應(yīng)用軟件)組成。系統(tǒng)程序:包括監(jiān)控程序、輸入譯碼程序及診斷程序等。用戶程序是用戶根據(jù)控制

17、要求,用PLC的編程語(yǔ)言(如梯形圖)編制的應(yīng)用程序。2.2可編程序控制器的工作方式及編程語(yǔ)言 2.2.1PLC的工作方式1. PLC的掃描工作方式 開(kāi)始內(nèi)部處理通信處理RUN方式?輸入掃描程序執(zhí)行輸出處理NY 圖2.2 plc的掃描過(guò)程可編程序控制器在進(jìn)入RUN狀態(tài)之后,采用循環(huán)掃描方式工作。從第一條指令開(kāi)始,在無(wú)中斷或跳轉(zhuǎn)控制的情況下,按程序存儲(chǔ)的地址號(hào)遞增的順序逐條執(zhí)行程序,即按順序逐條執(zhí)行程序,直到程序結(jié)束。然后再?gòu)念^開(kāi)始掃描,并周而復(fù)始地重要進(jìn)行。可編程序控制器工作時(shí)的掃描過(guò)程如圖2-2所示,包括五個(gè)階段:內(nèi)部處理、通信處理、輸入掃描、程序執(zhí)行、輸出處理。PLC完成一次掃描過(guò)程所需的時(shí)

18、間稱為掃描周期。掃描周期的長(zhǎng)短與用戶程序的長(zhǎng)度和掃描速度有關(guān)。2. PLC的程序執(zhí)行過(guò)程PLC的程序的執(zhí)行過(guò)程一般可分為輸入采樣、程序執(zhí)行和輸出刷新三個(gè)主要階段,如圖2 -3所示。 輸入端子輸入映象寄存器輸出映像寄存器輸出鎖存器輸出端子輸入 .輸出程序執(zhí)行階段輸入采樣階段輸出刷新階段X001Y001Y001M1讀讀 3.PLC的掃描周期在PLC的實(shí)際工作過(guò)程中,每個(gè)掃描周期除了前面所講的輸入采樣、程序執(zhí)行、輸出刷新三個(gè)階段外,還要進(jìn)行自診斷、與外設(shè)(如編程器、上位計(jì)算機(jī))通信等處理。即一個(gè)掃描周期還應(yīng)包含自診斷及與外設(shè)通信等時(shí)間。4. PLC的IO響應(yīng)時(shí)間,PLC采用集中IO刷新方式,在程序執(zhí)

19、行階段和輸出刷新階段,即使輸入信號(hào)發(fā)生變化,輸入映像寄存器區(qū)的內(nèi)容也不會(huì)改變,還會(huì)影響本次循環(huán)的掃描結(jié)果。輸出信號(hào)的變化滯后于輸入信號(hào)的變化,這產(chǎn)生了PLC的輸入輸出響應(yīng)滯后現(xiàn)象,最大滯后時(shí)間為2-3個(gè)掃描周期。2.2.2PLC的編程語(yǔ)言PLC的編程語(yǔ)言有梯形圖語(yǔ)言、助記符語(yǔ)言、順序功能圖語(yǔ)言等。其中前兩種語(yǔ)言用得較多,順序功能圖語(yǔ)言也在許多場(chǎng)合被采用。本課題所采用的編程語(yǔ)言為梯形圖語(yǔ)言。第三章交流雙速電梯的電氣設(shè)計(jì)3.1交流雙速電梯的基本工作原理3.1.1交流雙速電梯的主電路圖3-1是交流雙速電梯的主電路圖。圖中M1為電梯專用型雙速籠型異步電動(dòng)機(jī);KM1、KM2為電動(dòng)機(jī)正反轉(zhuǎn)接觸器,用以實(shí)現(xiàn)

20、電梯上、下行控制;KM3、KM4為電梯高低速運(yùn)行接觸器,用以實(shí)現(xiàn)電梯的高速或者低速運(yùn)行;KM5為啟動(dòng)加速接觸器;KM6、KM7、KM8為減速制動(dòng)接觸器,用以調(diào)整電梯制動(dòng)時(shí)的加速度;L1、L2與R1、R2為串入電動(dòng)機(jī)定子電路中的電抗和電阻,當(dāng)KM1或者KM2與KM3通電吸合時(shí),電梯將進(jìn)行上行或下行啟動(dòng),延時(shí)后KM5通電吸合,切除R1、L1,電梯將轉(zhuǎn)為上行或下行的穩(wěn)速運(yùn)行;當(dāng)電梯接收到停層指令后,KM3斷電釋放,KM4通電吸合,點(diǎn)擊轉(zhuǎn)為低速接法,傳入阻抗制動(dòng),實(shí)現(xiàn)上升與下降的低速運(yùn)行,且KM6KM8依次通電吸合,用來(lái)控制制動(dòng)過(guò)程的強(qiáng)度,提高停車制動(dòng)時(shí)的舒適感;至平層位置時(shí),接觸全部斷電釋放,包閘抱

21、死,電梯停止運(yùn)行。3.1.2電梯的主要電氣設(shè)備1)牽引電動(dòng)機(jī) 齒輪牽引機(jī)為電梯的提升機(jī)構(gòu)。主要由驅(qū)動(dòng)電動(dòng)機(jī),電磁制動(dòng)器(也稱電器包閘),減速器牽引輪組成。2)自動(dòng)門(mén)機(jī) 用來(lái)完成電梯的開(kāi)門(mén)與關(guān)門(mén)。電梯的門(mén)分為廳門(mén)(每層站一個(gè))與轎門(mén)(只有一個(gè))。只有當(dāng)電梯??吭谀硨诱緯r(shí),此層廳門(mén)才允許開(kāi)啟(由門(mén)機(jī)拖動(dòng)轎門(mén),轎門(mén)帶動(dòng)廳門(mén)完成);也只有當(dāng)廳門(mén),轎門(mén)全部關(guān)閉后才允許啟動(dòng)運(yùn)行。3)層樓指示燈 層樓指示燈也叫層顯,安裝在每層站廳門(mén)的上方和轎箱內(nèi)轎門(mén)的上方,用以指示電梯的運(yùn)行方向及電梯所處的位置。過(guò)去常由低壓燈泡構(gòu)成,現(xiàn)多由數(shù)碼管組成,且與呼梯盒做成一體結(jié)構(gòu)。4)呼梯盒 用以產(chǎn)生呼叫信號(hào)。常安裝在廳門(mén)外,離

22、地面一米左右的墻壁上。基站與底站只有一只按鈕,中間層站由上呼叫與下呼叫兩個(gè)按鈕組成。5)操縱箱 操縱箱安裝在轎箱內(nèi),供乘客對(duì)電梯發(fā)布動(dòng)作命令。其上面設(shè)有與電梯層站數(shù)相同的內(nèi)選層按鈕。6)平層及開(kāi)門(mén)裝置 該裝置如圖3-2所示。由平層感應(yīng)器及樓層感應(yīng)器組成。上行時(shí),上磁鐵板先觸發(fā)樓層感應(yīng)器,發(fā)出減速停車信號(hào);電梯開(kāi)始減速,至平層信號(hào)出發(fā)時(shí),發(fā)出開(kāi)門(mén)及停車信號(hào),電動(dòng)機(jī)停轉(zhuǎn),包閘抱死。下行時(shí),下磁鐵板出發(fā)樓層感應(yīng)器,發(fā)出減速停車信號(hào);電梯開(kāi)始減速,至平層信號(hào)出發(fā)時(shí),發(fā)出開(kāi)門(mén)及停車信號(hào)。 圖3.2 電梯的平層停層裝置示意圖3.2輸入輸出設(shè)計(jì)為了便于對(duì)電梯的工作原理及PLC系統(tǒng)進(jìn)行分析,現(xiàn)列出電梯所用電器

23、元件表。表3-1 電梯電氣元件表元件符號(hào)名稱及作用元件符號(hào) 名稱及作用KM1上行接觸器1HL6HL16層層樓指示燈KM2下行接觸器7HL8HL上行、下行指示燈KM3高速接觸器HL81樓外呼記憶燈KM4低速接觸器HL92樓上呼記憶燈KM5啟動(dòng)加速接觸器HL102樓下呼記憶燈KM6KM8制動(dòng)減速接觸器HL113樓上呼記憶燈KM9開(kāi)門(mén)接觸器HL123樓下呼記憶燈KM10關(guān)門(mén)接觸器HL134樓上呼記憶燈SQ6開(kāi)門(mén)到位開(kāi)關(guān)HL144樓下呼記憶燈SQ7關(guān)門(mén)到位開(kāi)關(guān)HL155樓上呼記憶燈SQ17上限位開(kāi)關(guān)HL165樓下呼記憶燈SQ18下限位開(kāi)關(guān)HL176樓下呼記憶燈SB1開(kāi)門(mén)按鈕1KR6KR各樓層感應(yīng)器SB

24、2關(guān)門(mén)按鈕7KR平層感應(yīng)器SB3上行啟動(dòng)按鈕1SB15SB115樓上行外呼按鈕SB4下行啟動(dòng)按鈕2SB26SB226樓下行外呼按鈕SB5SB1016樓層內(nèi)選層按鈕綜合考慮輸入輸出要求,估計(jì)需要PLC輸入輸出點(diǎn)70左右。因此,采用三菱FX2N-80MR可編程控制器完成本次設(shè)計(jì)。其輸入輸出電路如圖3-3所示第四章PLC梯形圖設(shè)計(jì)本套電梯控制系統(tǒng)的核心算法是采用“查表排序”的方式進(jìn)行對(duì)電梯轎箱的上下行控制。 程序設(shè)置了兩個(gè)表上行表和下行表。在樓層數(shù)據(jù)存入和讀取時(shí),分別通過(guò)六個(gè)子程序模塊對(duì)兩個(gè)表中的數(shù)據(jù)進(jìn)行派對(duì)處理,以實(shí)現(xiàn)電梯轎箱的控制要求。本程序由主程序和六個(gè)子程序模塊組成,六個(gè)子程序模塊分別是:上

25、行表排隊(duì)子程序模塊,下行表排隊(duì)子程序模塊,查詢上行表最大值子程序模塊,查詢下行表最大值子程序模塊,上行表完成信號(hào)消除模塊,下行表完成信號(hào)消除模塊。4.1程序說(shuō)明可編程控制器,從本質(zhì)上說(shuō),是應(yīng)用于工業(yè)控制的計(jì)算機(jī)。所以本程序充分發(fā)揮計(jì)算機(jī)數(shù)據(jù)處理方面的有事,采用雙排序表查詢算法進(jìn)行電梯任務(wù)數(shù)據(jù)的控制。4.1.1算法說(shuō)明程序的基本控制流程如圖4-1所示。4.1.2程序中相關(guān)存儲(chǔ)單元及中間繼電器的說(shuō)明為了便于理解程序的功能,現(xiàn)將程序中使用到的相關(guān)存儲(chǔ)單元中間繼電器的作用加以說(shuō)明。D100:上行表起始地址;D120:下行表起始地址;D110:上行表反向呼叫信號(hào)預(yù)處理存儲(chǔ)單元;D130:下行表反向呼叫信

26、號(hào)預(yù)處理存儲(chǔ)單元;D0: 呼叫信號(hào)存儲(chǔ)單元;D1:樓層信號(hào)存儲(chǔ)單元;D2: 上行表最大值存儲(chǔ)單元;D3:下行表最小值存儲(chǔ)單元;D200:子程序調(diào)用時(shí)樓層信號(hào)臨時(shí)存儲(chǔ)單元;M200:等待狀態(tài)信號(hào);M201:上行控制信號(hào);M202:下行控制信號(hào);M211:開(kāi)門(mén)控制信號(hào);M1-M6:六個(gè)子程序的入口。呼叫信號(hào)是否與電梯運(yùn)行方向相同是否大于該樓層最大值加10處理是否與電梯運(yùn)行方向相同降序排序處理升序排序處理是否低于當(dāng)前樓層是否小于該樓層最小值減10處理呼叫信號(hào)是否高于當(dāng)前樓層上行表下行表是圖4-1-1 呼叫信號(hào)控制流程圖是是是是是否否否否否否4.2主程序設(shè)計(jì)4.2.1上下行指示燈輸出環(huán)節(jié)本環(huán)節(jié)完成上行

27、指示燈Y25和下行指示燈Y26的控制。當(dāng)上行控制信號(hào)Y21有效時(shí),發(fā)出一個(gè)上升沿脈沖,通過(guò)SET指令將Y25設(shè)置為有效狀態(tài),通過(guò)RST指令將Y26設(shè)置為無(wú)效狀態(tài)。當(dāng)下行控制信號(hào)Y22有效時(shí),發(fā)出一個(gè)上升沿脈沖,通過(guò)SET指令將Y26設(shè)置為有效狀態(tài),通過(guò)RST指令將Y25設(shè)置為無(wú)效狀態(tài)。當(dāng)?shù)却隣顟B(tài)中間繼電器M200有效時(shí),發(fā)出一個(gè)上升沿脈沖,通過(guò)RST指令將Y25和Y26都設(shè)置為無(wú)效狀態(tài)。梯形圖如圖4-2-1所示。4.2.2反向樓層號(hào)預(yù)處理環(huán)節(jié)本環(huán)節(jié)預(yù)先完成對(duì)上行和下行過(guò)程中的反向樓層呼叫信號(hào)進(jìn)行預(yù)處理,以便以后的程序直接使用處理后的結(jié)果。梯形圖如圖4-2-1所示。4.2.3樓層信號(hào)寫(xiě)入環(huán)節(jié)本環(huán)

28、節(jié)完成1-6樓的樓層感應(yīng)器信號(hào)的相應(yīng)和存入功能。當(dāng)各樓層的樓層信號(hào)感應(yīng)器X25-X32有信號(hào)觸發(fā)后,通過(guò)MOVP指令樓層數(shù)據(jù)寫(xiě)入樓層信息存儲(chǔ)單元D1中。另外也通過(guò)MOVP指令將七段顯示器的對(duì)應(yīng)數(shù)據(jù)寫(xiě)入到樓層信號(hào)輸出單元K2Y34中,并在七段顯示器中輸出。梯形圖如圖4-2-3所示 圖 4-2-1 上下行指示燈輸出環(huán)節(jié)梯形圖 4.2.2反向呼叫信號(hào)預(yù)處理環(huán)節(jié)梯形圖 24樓層信號(hào)寫(xiě)入環(huán)節(jié)梯形圖4.2.4呼叫信號(hào)寫(xiě)入環(huán)節(jié)本環(huán)節(jié)完成對(duì)呼梯盒以及內(nèi)部按鈕產(chǎn)生的呼叫信號(hào)的響應(yīng)和存入功能。呼梯盒及內(nèi)部按鈕X1-X20如果被出發(fā),將發(fā)出一個(gè)上升沿脈沖,通過(guò)MOV指令將對(duì)應(yīng)的樓層信號(hào)存入呼叫信號(hào)存儲(chǔ)單元D0中。之

29、后,通過(guò)比較語(yǔ)句<> D0 D1判斷呼叫的樓層信號(hào)是否與轎箱當(dāng)前所處的樓層相等,若相等則不點(diǎn)亮對(duì)應(yīng)的按鈕指示燈,并通過(guò)后續(xù)的程序直接觸發(fā)開(kāi)門(mén)程序。梯形圖如圖4-2-4所示 圖4-2-4呼叫信號(hào)寫(xiě)入環(huán)節(jié)梯形圖4.2.5定向和等待信號(hào)設(shè)定環(huán)節(jié)本環(huán)節(jié)完成定向信號(hào)和等待信號(hào)的設(shè)定功能。通過(guò)比較語(yǔ)句= D100 k0和=D120 k0判斷上行表和下行表的首個(gè)單元為0,也就是說(shuō)上行表和下行表中都沒(méi)有任務(wù)數(shù)據(jù),電梯處于等待狀態(tài)。若比較語(yǔ)句<> d100 k0為真,說(shuō)明上行表中有任務(wù)數(shù)據(jù),則觸發(fā)M201(上行狀態(tài)控制位)。同理,若比較語(yǔ)句<> d120 k0為真,說(shuō)明下行表

30、中有任務(wù)數(shù)據(jù),則觸發(fā)M202(下行狀態(tài)控制位)。上行和下行控制位通過(guò)互鎖使兩個(gè)控制位不能同時(shí)為真。梯形圖如圖4-2-5所示 圖4-2-5 定向或等待狀態(tài)設(shè)定環(huán)節(jié)梯形圖4.2.6上行啟動(dòng)加速環(huán)節(jié)本環(huán)節(jié)完成轎箱的上行啟動(dòng)加速功能。當(dāng)M201(上行狀態(tài)控制位)有效時(shí),同時(shí)觸發(fā)Y32(低速運(yùn)行輸出)和Y21(上行輸出)。電梯轉(zhuǎn)入低速上行狀態(tài)。同時(shí)激活定時(shí)器T0開(kāi)始計(jì)時(shí),當(dāng)計(jì)時(shí)到時(shí)后,觸發(fā)Y33(高速運(yùn)行輸出),斷開(kāi)Y32。電梯轉(zhuǎn)入高速上行狀態(tài)。當(dāng)M203(轎箱停止控制位)有效時(shí),將切斷Y33。電梯轉(zhuǎn)入減速環(huán)節(jié)。梯形圖如圖4-2-6所示。 圖4-2-6 上行啟動(dòng)加速環(huán)節(jié)梯形圖4.2.7下行啟動(dòng)加速環(huán)節(jié)本

31、環(huán)節(jié)完成轎箱的下行啟動(dòng)加速功能,程序流程與上行啟動(dòng)加速環(huán)節(jié)相似。當(dāng)M202(下行狀態(tài)控制位)有效時(shí),同時(shí)觸發(fā)Y32(低速運(yùn)行輸出)和Y22(下行輸出)。電梯轉(zhuǎn)入低速下行狀態(tài)。同時(shí)激活定時(shí)器T1開(kāi)始計(jì)時(shí),當(dāng)計(jì)時(shí)到時(shí)后,觸發(fā)Y33(高速運(yùn)行輸出),斷開(kāi)Y32。電梯轉(zhuǎn)入高速下行狀態(tài)。當(dāng)M203(轎箱停止控制位)有效時(shí),將切斷Y33。電梯轉(zhuǎn)入減速環(huán)節(jié)。梯形圖如圖4-2-7所示。 圖4-2-7 下行啟動(dòng)加速環(huán)節(jié)梯形圖4.2.8停止信號(hào)產(chǎn)生環(huán)節(jié)本環(huán)節(jié)負(fù)責(zé)殘生停止轎箱運(yùn)行的信號(hào)。當(dāng)上行表的首地址數(shù)據(jù)(D100)或預(yù)處理后的上行反向信號(hào)數(shù)據(jù)(D110)與當(dāng)前的樓層信號(hào)(D1)相等時(shí),既說(shuō)明轎箱到達(dá)任務(wù)目標(biāo)樓層

32、,則觸發(fā)轎箱停止信號(hào)(M203)。下行表中的同類數(shù)據(jù)【=D120 D130)同樣也會(huì)觸發(fā)M203。梯形圖如圖4-2-8所示。圖4-2-8 停止信號(hào)產(chǎn)生環(huán)節(jié)梯形圖4.2.9轎箱減速過(guò)程環(huán)節(jié)本環(huán)節(jié)完成轎箱停止信號(hào)發(fā)出后的轎箱減速停止的過(guò)程。當(dāng)M203被觸發(fā)后,轎箱轉(zhuǎn)入低速運(yùn)行狀態(tài)(Y32)。同時(shí)觸發(fā)一級(jí)、二級(jí)、三級(jí)減速定時(shí)器T2, T3, T4。當(dāng)T2計(jì)時(shí)到時(shí)后,觸發(fā)一級(jí)減速(Y27);當(dāng)T3計(jì)時(shí)到時(shí)后,觸發(fā)二級(jí)減速(Y30);當(dāng)T4及時(shí)到時(shí)后,觸發(fā)三級(jí)減速(Y31)。進(jìn)而電梯轎箱緩緩接近平層位置,當(dāng)平層信號(hào)(X34)被觸發(fā)后,Y32及T2,T3,T4被斷開(kāi),轎箱停止。梯形圖如圖4-2-9所示。

33、圖4-2-9 減速環(huán)節(jié)梯形圖4.2.10門(mén)控環(huán)節(jié)本環(huán)節(jié)完成轎箱門(mén)的開(kāi)關(guān)門(mén)控制功能。開(kāi)門(mén)按鈕(X21),機(jī)械安全觸板(X33)和平層信號(hào)(X34)中的任意一個(gè)都會(huì)觸發(fā)開(kāi)門(mén)控制信號(hào)(M211),進(jìn)而觸發(fā)開(kāi)門(mén)信號(hào)(Y23)并自保。當(dāng)開(kāi)門(mén)過(guò)程完成后,開(kāi)門(mén)狀態(tài)信號(hào)(X23)會(huì)被觸發(fā),M211和X23的“與”連接說(shuō)明了“開(kāi)門(mén)開(kāi)始并最終開(kāi)門(mén)完成”這樣一個(gè)過(guò)程,之后會(huì)觸發(fā)SET M205和RST M211兩條功能指令。中間變量M205會(huì)首先觸發(fā)開(kāi)門(mén)等待計(jì)時(shí)器T5,T5計(jì)時(shí)到時(shí)后會(huì)觸發(fā)關(guān)門(mén)信號(hào)(Y24),電梯門(mén)開(kāi)始關(guān)閉,同時(shí)觸發(fā)另一個(gè)臨時(shí)中間變量M212。當(dāng)電梯門(mén)關(guān)閉完成后,關(guān)門(mén)狀態(tài)信號(hào)(X24)會(huì)被觸發(fā)。X2

34、4會(huì)斷開(kāi)Y24和復(fù)位M205。M212和X24的“與”連接說(shuō)明了“關(guān)門(mén)開(kāi)始并最終關(guān)門(mén)完成”這樣一個(gè)過(guò)程。之后會(huì)復(fù)位M203和M212。梯形圖如圖4-2-10所示。 圖4-2-10 門(mén)控環(huán)節(jié)梯形圖4.2.11上行或等待狀態(tài)反向信號(hào)處理環(huán)節(jié)本環(huán)節(jié)完成上行或等待狀態(tài)下,外部反向呼叫信號(hào)的處理功能。2-6樓的下行呼叫X2,X4,X6,X10,X12為本環(huán)節(jié)的觸發(fā)信號(hào)。觸發(fā)后,先判斷呼叫的樓層信號(hào)是否與當(dāng)前轎箱所處的樓層相等,如果相等,則直接觸發(fā)開(kāi)門(mén)控制信號(hào)M211。如果不相等,則調(diào)用查詢上升表最大值子程序模塊,入口M3。當(dāng)查詢上升表最大值子程序模塊執(zhí)行完成后,發(fā)出M103任務(wù)完成信號(hào),進(jìn)而呼叫信號(hào)是否

35、大于最大值,如果大于最大值,需要對(duì)先信號(hào)做加10處理,然后調(diào)用上行表排隊(duì)子程序模塊,入口M1。如果小于最大值,則直接調(diào)用下行表排隊(duì)子程序。梯形圖如圖4-2-11所示4.2.12下行或等待狀態(tài)反向信號(hào)處理環(huán)節(jié)本環(huán)節(jié)完成下行或等待狀態(tài)下,外部反向呼叫信號(hào)的處理功能。1-5樓的上行呼叫X1,X3,X5,X7,X11為本環(huán)節(jié)的觸發(fā)信號(hào)。觸發(fā)后,先判斷呼叫的樓層信號(hào)是否與當(dāng)前轎箱所處的樓層相等,如果相等,則直接觸發(fā)開(kāi)門(mén)控制信號(hào)M211。如果不相等,則調(diào)用查詢下降表最小值子程序模塊,入口M4。當(dāng)查詢下降表最小值子程序模塊執(zhí)行完成后,發(fā)出M104任務(wù)完成信號(hào),進(jìn)而呼叫信號(hào)是否小于最小值,如果小于最小值,需要

36、對(duì)先信號(hào)做減10處理,然后調(diào)用上行表排隊(duì)子程序模塊,入口M2。如果大于最小值,則直接調(diào)用下行表排隊(duì)子程序。梯形圖如圖4-2-12所示。 圖4-2-11 上行或等待狀態(tài)下反向信號(hào)處理梯形圖 圖4-2-12 下行或等待狀態(tài)下反向信號(hào)處理梯形圖4.2.13上行同向信號(hào)處理環(huán)節(jié)本環(huán)節(jié)完成上行過(guò)程中,外部同向呼叫信號(hào)的處理過(guò)程。1-5樓的外部上行呼叫按鈕X1, X3, X5, X7, X11為本環(huán)節(jié)的觸發(fā)信號(hào)。程序被觸發(fā)后通過(guò)比較指令判斷呼叫信號(hào)與轎箱所在樓層的關(guān)系,如果呼叫信號(hào)大于轎箱所在樓層則調(diào)用上行表排隊(duì)子程序,入口M1。如果呼叫信號(hào)小于轎箱所在樓層則調(diào)用下行表排隊(duì)子程序,入口M2。梯形圖如圖4-

37、2-13所示。 圖4-2-13 上行同向信號(hào)處理梯形圖4.2.14下行同向信號(hào)處理環(huán)節(jié)本環(huán)節(jié)完成上行過(guò)程中,外部同向呼叫信號(hào)的處理過(guò)程。2-6樓的外部上行呼叫按鈕X2, X4, X6, X10, X12為本環(huán)節(jié)的觸發(fā)信號(hào)。程序被觸發(fā)后通過(guò)比較指令判斷呼叫信號(hào)與轎箱所在樓層的關(guān)系,如果呼叫信號(hào)大于轎箱所在樓層則調(diào)用上行表排隊(duì)子程序,入口M1。如果呼叫信號(hào)小于轎箱所在樓層則調(diào)用下行表排隊(duì)子程序,入口M2。梯形圖如圖4-2-14所示。圖4-2-14 下行過(guò)程中同向信號(hào)處理梯形圖4.2.15內(nèi)部呼叫信號(hào)處理環(huán)節(jié)本環(huán)節(jié)完成內(nèi)部呼叫信號(hào)的處理過(guò)程。內(nèi)部呼叫按鈕X13, X14, X15, X16, X17

38、, X20為本環(huán)節(jié)的觸發(fā)信號(hào)。程序被觸發(fā)后通過(guò)比較指令判斷呼叫信號(hào)與轎箱所在樓層的關(guān)系,如果呼叫信號(hào)大于轎箱所在樓層則調(diào)用上行表排隊(duì)子程序,入口M1。如果呼叫信號(hào)小于轎箱所在樓層則調(diào)用下行表排隊(duì)子程序,入口M2。梯形圖如圖4-2-15所示。 圖4-2-15 內(nèi)部呼叫信號(hào)處理梯形圖4.2.16子程序調(diào)用環(huán)節(jié)本環(huán)節(jié)完成六個(gè)字程序模塊的相關(guān)存儲(chǔ)單元和指針的賦初值和調(diào)用功能。梯形圖如圖4-2-16所示。 圖4-2-16 子程序調(diào)用環(huán)節(jié)梯形圖4.3子程序功能說(shuō)明本系統(tǒng)有六個(gè)子程序功能模塊,分別是:上行表排隊(duì)處理子程序模塊,下行表排隊(duì)處理子程序模塊,查詢上行表最大值子程序模塊,查詢下行表最小值子程序模塊,

39、上行表已完成任務(wù)消除子程序模塊,上行表已完成任務(wù)消除子程序模塊。4.3.1上行表排隊(duì)子程序模塊本模塊完成進(jìn)入上行表的呼叫信號(hào)的排序以及轉(zhuǎn)移處理功能。首先,呼叫信號(hào)通過(guò)D200進(jìn)入子程序。子程序開(kāi)始部分有三個(gè)監(jiān)控觸點(diǎn): = D200 D100V0,= D100V0 K0和> D200 D100V0- <> D100V0 K0。它們分別監(jiān)控D100V0是否與D200相等,是否等于0或者D100是否大于D100V0。如果滿足第一個(gè)監(jiān)控觸點(diǎn),說(shuō)明當(dāng)前的呼叫信號(hào)已經(jīng)在上行表中,不需要進(jìn)一步處理可結(jié)束子程序調(diào)用,返回主程序;如果滿足第二個(gè)監(jiān)控觸點(diǎn),說(shuō)明指針V0指向的表單元為空閑空間,可直

40、接使用MOVP指令將D200存入D100V0中,然后返回主程序;如果滿足第三個(gè)監(jiān)控觸點(diǎn),說(shuō)明D200大于V0所指向的表單元,需要進(jìn)一步搜索,使用INC指令給V0加一。第四個(gè)監(jiān)控觸點(diǎn)< D200 D100V0- < D100V0 K10如果被觸發(fā),說(shuō)明找到了表中大于D200的樓層信號(hào),并且這個(gè)信號(hào)不是經(jīng)過(guò)加10處理的反向呼叫信號(hào),可直接將D200插入到V0所指的表單元,并將其后的表單員中的數(shù)據(jù)一次向后移動(dòng)一位,完成這一系列操作后,返回主程序。第五個(gè)監(jiān)控觸點(diǎn)>= D100V0 K10說(shuō)明V0所指向的是經(jīng)過(guò)加10處理的反響呼叫信號(hào)。首先要對(duì)反向呼叫信號(hào)做減10處理,將其還原為普通的

41、呼叫信號(hào),然后通過(guò)比較指令判斷D200是否大于這個(gè)反向呼叫信號(hào),如果大于,則需要將這個(gè)反響呼叫信號(hào)發(fā)往下行表中;如果不大于,則可直接將D200插入到V0所指的表單元中。本子程序模塊的梯形圖如圖4-3-1和圖4-3-2所示。 圖4-3-2 上行表排隊(duì)子程序模塊1圖4-3-2 上行表排隊(duì)子程序模塊4.3.2下行表排隊(duì)子程序模塊本模塊的程序結(jié)構(gòu)與上行表排隊(duì)子程序模塊類似,不同點(diǎn)在于本模塊的排序方式為升序排序方式。梯形圖如圖4-3-3和圖4-3-4所示圖4-3-3 下行表排隊(duì)子程序模塊1圖4-3-4 下行表排隊(duì)子程序模塊4.3.3查詢上行表最大值子程序模塊本模塊是為電梯響應(yīng)和處理上升過(guò)程中的反響呼叫信

42、號(hào)提供服務(wù)的。在出現(xiàn)反向呼叫信號(hào)時(shí),需要判斷呼叫信號(hào)是否大于上行表中的最大任務(wù)數(shù)據(jù),如果大于最大任務(wù)數(shù)據(jù),則需要將其做加10標(biāo)記處理后再存入上行表;如果小于最大任務(wù)數(shù)據(jù),則直接將其存入下行表即可。本模塊初始化先將D2為0,開(kāi)始執(zhí)行后,先D100V2的內(nèi)容存入D4中(V2為指針,初值為0),然后進(jìn)一步判斷當(dāng)前讀取的表單元數(shù)據(jù)是否是經(jīng)過(guò)加10標(biāo)記處理過(guò)的反向呼叫信號(hào),如果是,將其做減10還原處理,并存入D4中。最后將D4與D2進(jìn)行比較,如果D4大于D2,則用D4的內(nèi)容覆蓋D2的內(nèi)容,然后將指針V2加1,直到將表中所有的數(shù)據(jù)查詢一遍后,D2的內(nèi)容便是當(dāng)前上行表中的最大值了。本模塊梯形圖如圖4-3-5

43、所示。4.3.4查詢下行表最小值子程序模塊本模塊是為電梯響應(yīng)和處理下降過(guò)程中的反響呼叫信號(hào)提供服務(wù)的。在出現(xiàn)反響呼叫信號(hào)時(shí),需要判斷呼叫信號(hào)是否小于小行表中的最小任務(wù)數(shù)據(jù),如果小于最小任務(wù)數(shù)據(jù),則需要將其做減10標(biāo)記處理后再存入下行表;如果大于最小任務(wù)數(shù)據(jù),則直接將其存入上行表即可。本模塊初始化先將下行表的第一個(gè)表單元的內(nèi)容存入D3中,開(kāi)始執(zhí)行后,先D120V3的內(nèi)容存入D5中(V3為指針,初值為0),然后進(jìn)一步判斷當(dāng)前讀取的表單元數(shù)據(jù)是否是經(jīng)過(guò)減10標(biāo)記處理過(guò)的反向呼叫信號(hào),如果是,將其做加10還原處理,并存入D5中。最后將D5與D3進(jìn)行比較,如果D5小于D3(D5等于0除外),則用D5的內(nèi)

44、容覆蓋D3的內(nèi)容,然后將指針V3加1,直到將表中所有的數(shù)據(jù)查詢一遍后,D3的內(nèi)容便是當(dāng)前下行表中的最小值了。本模塊梯形圖如圖4-3-6所示。 圖4-3-5 查詢上行表最大值子程序梯形圖 圖4-3-6 查詢下行表最小值子程序梯形圖4.3.5上行表已完成信號(hào)消除子程序模塊本模塊完成電梯轎箱到站之后,上行表中已完成的任務(wù)數(shù)據(jù)的刪除以及被記憶的按鈕指示燈的熄滅功能。這部分的觸發(fā)信號(hào)都是 = D100 KXX形式的。當(dāng)上升表的首單元D100等于某一個(gè)樓層信號(hào)時(shí),即表示當(dāng)前轎箱將要到達(dá)目標(biāo)樓層,將開(kāi)始一系列減速、平層和門(mén)控程序,這時(shí)D100中的樓層任務(wù)數(shù)據(jù)已經(jīng)完成,應(yīng)該將其刪除,并依次將其后的樓層任務(wù)數(shù)據(jù)向前提升一位。本模塊前半部分完成的是被記憶的按鈕指示燈的熄滅功能。當(dāng)電梯運(yùn)行至某一目標(biāo)樓層時(shí), = D100 KXX中的某一個(gè)會(huì)被觸發(fā)(包括經(jīng)過(guò)加10處理過(guò)的上行反響呼叫信號(hào)),此時(shí)如果這一樓層的某一個(gè)按鈕指示燈處于被記憶狀態(tài),那么這個(gè)觸點(diǎn)就會(huì)連同被觸發(fā)的 = D100 KXX以及平層信號(hào)(X34)構(gòu)成一個(gè)通路,從而觸發(fā)一個(gè)將此被記憶的指示燈復(fù)位的RST指令,從而達(dá)到了按鈕指示燈熄滅的功能。本模塊的后半部分完成

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論