版權說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權,請進行舉報或認領
文檔簡介
1、第 1 頁目錄第一章數(shù)字電子鐘系統(tǒng)設計摘要1.1數(shù)字電子鐘摘要 .3 1.2本文關鍵詞 .3 第二章數(shù)字電子中系統(tǒng)具體設計過程與實現(xiàn)2.1.技術指標 .4 2.2 系統(tǒng)設計 .4 2.2.1 系統(tǒng)框圖 .6 2.2.2 方案論證 .7 2.3單元電路 .8 2.3.1 分頻器 .8 2.3.2 60 進制計數(shù)器 .9 2.3.3 24進制計數(shù)器 .10 2.3.4 12與 24小時轉(zhuǎn)換器 11 2.3.5 四位動態(tài)顯示器 .17 2.3.6 整點與學號報時 .18 2.3.7 鬧鐘.22 2.3.8 校時校分 .24 2.4整體電路圖 25 第 2 頁2.5單元電路功能測試 26 2.6整體電
2、路功能測試 32 2.7實驗完成情況 37 第三章實驗原件清單 .38 第四章結束語.39 參考文獻 .43 附錄(預習電路圖與正式電路圖) 第 3 頁第一章數(shù)字電子鐘系統(tǒng)設計摘要1.1 數(shù)字電子鐘課題設計摘要課程題目:數(shù)字電子鐘設計摘要:數(shù)字鐘是一種用數(shù)字電路技術實現(xiàn)時、分、秒計時的裝置,與機械式時鐘相比具有更高的準確性和直觀性,且無機械裝置,具有更更長的使用壽命,因此得到了廣泛的使用。數(shù)字鐘從原理上講是一種典型的數(shù)字電路,其中包括了組合邏輯電路和時序電路。通過數(shù)字電路的技術使用,分頻器、計數(shù)器、譯碼與顯示器以及各種選擇控制端實現(xiàn)數(shù)字鐘準確計時,校時,設定鬧鐘,整點報時,12 與24 小時轉(zhuǎn)
3、換,以及整點報時的功能。本次課程設計采用了 xilinx 公司的設計軟件foundation3.1 ,通過電腦仿真來設計電路圖, 然后下載到芯片95108,再通過硬件具體顯示數(shù)字鐘,并測試其各個模塊的功能。1.2 本文關鍵詞60 進制計數(shù)器, 24 進制計數(shù)器,譯碼與顯示電路第 4 頁第二章數(shù)字電子中系統(tǒng)具體設計過程與實現(xiàn)2.1 技術指標2.1.1 整體功能數(shù)字電子鐘能以秒為最小時間單位計時,同時應能用數(shù)字直觀顯示當前的時與分,通過一個放光二極管顯示秒,用兩個發(fā)光二極管指示上、下午,可以手動校時,可以設定鬧鐘時間,以及事項整點報時和學號報時的功能。2.1.2 系統(tǒng)結構數(shù)字電子鐘的系統(tǒng)結構方框圖
4、如圖2-1 所示。 圖中的秒信號電路產(chǎn)生1hz 的標準計時信號,計時電路記錄當前的時,分值,數(shù)字顯示以數(shù)字的方式顯示出當前的時間值,鬧鐘電路用與設定鬧鐘,報時電路用于整點報時以及學號報時,時分調(diào)校電路用于校正當前的時間。第 5 頁圖 2-1 2.1.3 電氣指標(1)最小計時時間單位為1s。(2) 秒和分的范圍是 0059, 小時的計時范圍是 0023,并可手動將小時計時范圍轉(zhuǎn)換為0012,同時指示上下午。(3)可以手動校正分,時。(4)具有整點報時的功能,要求每個整點前鳴叫4 次低音(500hz) ,整點時鳴叫一次高音( 1000hz) ,同時在自己學號前也要鳴叫4 次低音,計時到自己學號時
5、鳴叫高音( 1000hz) 。(5)通過轉(zhuǎn)換開關,可使電子鐘具有鬧鐘功能,可以計時電路秒信號數(shù)字顯示時、分調(diào)整電路報時電路鬧鐘電路第 6 頁手動設置鬧鐘的時與分。2.1.4 設計條件使用xilinx公司的foundation3.1 仿真軟件,選用xc9500系列芯片中的95108pc84下載后通過硬件連接電路實現(xiàn)各個模塊的功能。2.2 系統(tǒng)設計數(shù)字電子鐘主體電路應由以下幾部分組成:通過分頻器產(chǎn)生標準秒信號,以及報時與鬧鐘需要的500hz 與1000hz的信號;60 進制分秒計數(shù)器以及24 小時與 12 小時的時計數(shù)器;分、時的譯碼顯示部分;校時電路;整點和學號報時電路;以及鬧鐘定時電路。數(shù)字鐘
6、的工作原理是:由信號給出的信號經(jīng)過分頻后得到 1hz 的標準信號,作為秒計數(shù)器的計數(shù)脈沖;秒計數(shù)器計滿 60 后,向分計數(shù)器發(fā)出進位脈沖;分計數(shù)器計滿 60 后,向時計數(shù)器發(fā)出進位脈沖;小時按照24 小時進制或“ 12 翻 1”規(guī)律計數(shù)。計數(shù)器的輸出分別驅(qū)動譯碼電路,數(shù)碼管顯示時間。當時鐘出現(xiàn)誤差時可以通過控制開關進行校時校分。通過控制開關可以顯示鬧鐘的時間,并調(diào)整鬧鐘的分與時,還可以通過控制開關顯示第 7 頁24 小時計時或者12小時計時。系統(tǒng)框圖如圖2-2 所示。1hz 1khz 2khz 500hz 方案論證:本次課程設計數(shù)字電子鐘通過電腦仿真,然后通過硬件實現(xiàn)電路的顯示與功能的調(diào)試,都
7、是實際可行的,可以操作的,而且各個模塊的設計也是合理的,方案具有可行性。分顯示器整點與 學號報時系統(tǒng)時顯示器時譯碼器秒 計 數(shù)器鬧 鐘 系統(tǒng)分譯碼器時計數(shù)器分頻器分計數(shù)器校分電路單次脈沖校時電路控制電路第 8 頁2.3 單元電路2.3.1 分頻器分頻器的功能主要有兩個:一是產(chǎn)生標準秒信號;二是提供給功能擴展電路所需要的信號,如仿電臺報時用的 1000hz的高音頻信號和500hz的低音頻信號等。用一個 2 分頻的計數(shù)器把輸入的2000hz 的信號分成1000hz的信號,然后用 3級 m=10 的計數(shù)器對 1000hz的信號進行分頻,得到 1hz 的標準信號。如圖 2-3 從 1000hz的信號取
8、出 2分頻的信號即 500hz,可用作低音信號。500hz 1hz 2000hz1000hz圖 2-3 分頻電路可以選用74160 作為計數(shù)器實現(xiàn)分頻,分頻器的具體電路如下圖2-4所示。m=2計數(shù)器m=10 計數(shù)器m=10 計數(shù)器m=10 計數(shù)器第 9 頁圖 2-4 2.3.2 60 進制計數(shù)器 c60 電子中的分和秒計時采用的是60進制的技術方式,其計數(shù)規(guī)律 00,01,02,03 58,59,00選用十進制的或16 進制的計數(shù)器進行兩級級聯(lián)即可構成m=60 的計數(shù)器。如圖 2-5 所示第 10 頁圖 2-5 兩個 74160同步級聯(lián)以復 0 法構成六十進制的計數(shù)器,第一片 m=10,第二片
9、 m=6,在計數(shù)達到 59 的時候進位段輸出高電平。2.3.3 24 小時進制計數(shù)器 c24 圖 2-6 所示電路是由兩片74160組成的能實現(xiàn)24 進制的同步遞增計數(shù)器。圖中個位與十位計數(shù)器均接成十進制計數(shù)形式,采用同步級連方式。十位與個位的輸出端分別是 h2d, h2c, h2b,h2a,h1d, h1c,h1b,h1a 選擇十位計數(shù)器的輸出端h2b 和個位計數(shù)器的輸出端h1c 通過與非門 nand2 控制兩片計數(shù)器第 11 頁的清零端( clr ) ,利用狀態(tài)24 反饋清零,可實現(xiàn)24進制遞增計數(shù)。圖 2-6 2.3.4 12 與 24 進制計數(shù)器的轉(zhuǎn)換12 小時采用的是“ 12 翻 1
10、”的特殊進制計數(shù)器,當數(shù)字鐘的計時器運行到12時 59分 59秒時,秒的個位計數(shù)器再接收一個秒脈沖時,數(shù)字鐘應自動顯示為01 時 00分 00 秒,實現(xiàn)日常生活中習慣用的計時規(guī)律。在本次實驗中并沒有用原理圖實現(xiàn)12 小時的計數(shù)器,而是采用第 12 頁vhdl 語言構造一個原件將24小時對應轉(zhuǎn)化成12小時。同時輸出一個控制端在12 小時進制時顯示上、下午。vhdl 語言代碼如下:library ieee; use ieee. std_logic_1164.all; entity cntm12 is port(h1a:in std_logic; h1b:in std_logic; h1c:in s
11、td_logic; h1d:in std_logic; h2a:in std_logic; h2b:in std_logic; h2c:in std_logic; h2d:in std_logic; h1aout:out std_logic; h1bout:out std_logic; h1cout:out std_logic; h1dout:out std_logic; h2aout:out std_logic; h2bout:out std_logic; h2cout:out std_logic; h2dout:out std_logic; amorpm:out std_logic); e
12、nd cntm12; architecture beh of cntm12 is signal temp_in : std_logic_vector (7 downto 0); signal temp_out: std_logic_vector (8 downto 0); begin temp_in temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_out temp_
13、out temp_out temp_outtemp_out temp_outtemp_out temp_out temp_outtemp_out=000000000; end case; amorpm=temp_out(8); h2dout=temp_out(7); h2cout=temp_out(6); h2bout=temp_out(5); h2aout =temp_out(4); h1dout=temp_out(3); h1cout=temp_out(2); h1bout=temp_out(1); h1aout=temp_out(0); 第 14 頁end process; end be
14、h; 管腳 h2d,h2c,h2b,h2a 為時的十位, h1d,h1c,h1b, h1a 為時的個位 ,分別接 24 小時進制的輸出端,通過判斷將其轉(zhuǎn)化為12 小時進制時的數(shù)字輸出,輸出端為h2dout,h2cout,h2bout,h2aout,h1dout, h1cout,h1bout,h1aout,amorpm輸出 1 為上午,0為下午。元件封裝后如圖2-7 圖 2-7 然后通過數(shù)據(jù)選擇器選擇24 或者 12 進制的信號進行第 15 頁輸出。四片數(shù)據(jù)選擇器74153 的 co1 與 co2 端分別接c24 的輸出端與 c24to12 的輸出端,在74153的 b 端輸入的是 0 ,當 a
15、 端輸入 0時,輸出 12 小時計時的時間,在 a 端輸入 1時,輸出 24 小時計時的時間。原件內(nèi)部電路圖如圖2-8所示。原件封裝后為 choose12or24輸入端為 h2d24,h2c24, h2b24,h2a24,h1d24,h1c24,h1b24,h1a24, h2d12, h2c12,h2b12,h2a12h1d12,h1c12,h1b12,h1a12, 輸 出端 h2dout,h2cout,h2bout,h2aout,h1dout,h1c out,h1bout,h1aout 。choose12or24 端選擇輸出12 小時或者 24 小時的時間。如圖2-9 所示。圖 2-8 第
16、16 頁圖 2-9 最后將 c24,c24to12 和 choose12or24連接起來,封裝成時的計數(shù)與轉(zhuǎn)換模塊hour。內(nèi)部電路與原件分別如圖 2-10,2-11。圖 2-10 第 17 頁圖 2-11 2.3.4 四位動態(tài)顯示電路dtxs 數(shù)字鐘只要求輸出時與分,所以可以采用四位動態(tài)顯示電路輸出時與分的十位和個位。使用2 片 74153 與74139 將四個位上的數(shù)字動態(tài)顯示到數(shù)碼管上,使用74161構成一個模為4 的計數(shù)器,將 74153上時與分十位與個位的輸入分別輸出到數(shù)碼管,由于計數(shù)器計數(shù)的速度非??煲约叭搜鄣臏粜?,察覺不到數(shù)字的變化,最后將看到四位數(shù)字同時顯示到數(shù)碼管上。74
17、161的 cp端接到 1khz 的信號上。具體電路如2-12。第 18 頁圖 2-12 2.3.6 整點與學號報時電路jtclockcp 電子鐘走到整點時即發(fā)出音響,通常按照 4 低音、1高音的順序發(fā)出間斷的聲響,以最后一聲高音為整點時刻。只要整點報時的時刻,即各個計數(shù)器的狀態(tài)分揀出來,控制報時的音響電路即可達到報時的效果。報時的時間為 59 分的 51 秒,53 秒,55秒,57 秒與 59 秒前四聲為低音,最后一聲為高音。而學號報時也是在學號前一分鐘的 51, 53, 55, 57, 59 秒發(fā)出聲音。在低音時輸出 500hz信號,在高音時輸出 1000hz信號。輸入端分別是秒、 分、時計
18、數(shù)器的輸出端。輸出端為o500hz與 o1khz,再通過第 19 頁74153選擇輸出的是500hz 的信號還是 1khz 的信號。此原件使用 vhdl 語言設計,具體代碼如下:library ieee; use ieee.std_logic_1164.all; entity jtclock isport ( h1a:in std_logic; h1b:in std_logic; h1c:in std_logic; h1d:in std_logic; h2a:in std_logic; h2b:in std_logic; h2c:in std_logic; h2d:in std_logic;
19、m1a: in std_logic; m1b: in std_logic; m1c: in std_logic; m1d: in std_logic; m2a: in std_logic; m2b: in std_logic; m2c: in std_logic; m2d: in std_logic; s1a: in std_logic; s1b: in std_logic; s1c: in std_logic; s1d: in std_logic; s2a: in std_logic; s2b: in std_logic; s2c: in std_logic; s2d: in std_log
20、ic; o1khz: out std_logic; o500hz: out std_logic ); end jtclock; 第 20 頁architecture jtclock_arch of jtclock is begin process(h2a,h2b,h2c,h2d,h1a,h1b,h1c,h1d,m1a,m1b,m1c,m1d,m2a,m2b,m2c,m2d,s1a,s1b,s1c,s1d,s2a,s2b,s2c,s2d) begin if(m2d = 0 and m2c=1 and m2b=0 and m2a=1and m1d= 1 and m1c= 0 and m1b = 0
21、 and m1a= 1 and s2d =0 and s2c=1 and s2b= 0 and s2a = 1and s1d=1 and s1c=0 and s1b= 0 and s1a=1) then o500hz=0; o1khz=1; elsif(m2d = 0 and m2c=1 and m2b=0 and m2a=1 and m1d= 1 and m1c= 0 and m1b = 0 and m1a= 1 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1 and s1d=0 and s1c=0 and s1b= 0 and s1a = 1)th
22、en o500hz =1; o1khz =0; elsif(m2d = 0 and m2c=1 and m2b=0 and m2a=1 and m1d= 1 and m1c= 0 and m1b = 0 and m1a= 1 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1and s1d=0 and s1c=0 and s1b= 1 and s1a = 1)then o500hz =1; o1khz =0; elsif(m2d = 0 and m2c=1 and m2b=0 and m2a=1 and m1d= 1 and m1c= 0 and m1b
23、= 0 and m1a= 1 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1and s1d=0 and s1c=1 and s1b= 0 and s1a = 1)then o500hz =1; o1khz =0; elsif(m2d = 0 and m2c=1 and m2b=0 and m2a=1 and m1d= 1 and m1c= 0 and m1b = 0 and m1a= 1 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1and s1d=0 and s1c=1 and s1b= 1 and s1a
24、= 1)then o500hz =1; o1khz =0; elsif(h2d=0 and h2c =0and h2b=0and h2a = 1 and h1d= 0 and h1c = 1 and h1b=0 and h1a = 0 and m2d = 0 and 第 21 頁m2c=0 and m2b=1 and m2a=0 and m1d= 0 and m1c= 1 and m1b = 0 and m1a= 1 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1and s1d=0 and s1c=0 and s1b= 0 and s1a = 1)th
25、en o500hz =1; o1khz=0 ;elsif(h2d=0 and h2c =0and h2b=0and h2a = 1 and h1d= 0 and h1c = 1 and h1b=0 and h1a = 0 and m2d = 0 and m2c=0 and m2b=1 and m2a=0 and m1d= 0 and m1c= 1 and m1b = 0 and m1a= 1 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1and s1d=0 and s1c=0 and s1b= 1 and s1a= 1)then o500hz =1;
26、o1khz=0; elsif(h2d=0 and h2c =0and h2b=0and h2a = 1 and h1d= 0 and h1c = 1 and h1b=0 and h1a = 0 and m2d = 0 and m2c=0 and m2b=1 and m2a=0 and m1d= 0 and m1c= 1 and m1b = 0 and m1a= 1 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1and s1d=0 and s1c=1 and s1b= 0 and s1a = 1)then o500hz =1; o1khz=0; elsi
27、f(h2d=0 and h2c =0and h2b=0and h2a = 1 and h1d= 0 and h1c = 1 and h1b=0 and h1a = 0and m2d = 0 and m2c=0 and m2b=1 and m2a=0 and m1d= 0 and m1c= 1 and m1b = 0 and m1a= 1 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1and s1d=0 and s1c=1 and s1b= 1 and s1a = 1)then o500hz =1; o1khz=0; elsif(h2d=0 and h2
28、c =0and h2b=0and h2a = 1 and h1d= 0 and h1c = 1 and h1b=0 and h1a = 0and m2d = 0 and m2c=0 and m2b=1 and m2a=0 and m1d= 0 and m1c= 1 and m1b = 1 and m1a= 0 and s2d =0 and s2c=1 and s2b = 0 and s2a = 1and s1d=1 and s1c=0 and s1b= 0 and s1a = 1)then 第 22 頁o500hz =0; o1khz=1; else o500hz=0; o1khz=0; en
29、d if; end process; end jtclock_arch; 2.3.7 鬧鐘電路 clock 鬧鐘是數(shù)字電子鐘的一個很重要的功能,鬧鐘系統(tǒng)只要再做一個分與時的計數(shù)器,通過同或門分別將時與分的十位與個位與鬧鐘設定的時間相比較,當時刻相同時就輸出高電平, 再通過 74153選擇 1000hz的信號輸出進行報時。當時刻不同時輸出低電平,這時74153 選擇低電平輸出,這時蜂鳴器并不會響。鬧鐘電路中的分與時計數(shù)器并不級聯(lián), 兩個計數(shù)器的 cp端加單脈沖即可實現(xiàn)鬧鐘的定時。同時鬧鐘設定的時間也要可以顯示在數(shù)碼管上,因此,鬧鐘電路的輸出端還要有鬧鐘時與分的信號。電路圖如2-13所示。第 23
30、 頁圖 2-13 封裝后如圖 2-14。圖 2-14 第 24 頁2.3.8 校時校分電路電子鐘一個不可或缺的部分就是校時校分電路,在接通電源或計時出現(xiàn)誤差時,需要校正時間,校時電路的要求是:在進行校時時不影響分秒的計時,同理在進行校分是不影響秒的計時。同樣需要一個控制開關來選擇是校正時間還是顯示時間,用一個74153在 b 端接 0 ,c0 接進位信號, c1接單次脈沖,這樣在a 端接0時就輸出進位信號,正常走時。接1時,用單次脈沖來調(diào)整時間,進行校時。具體電路如圖2-15所示。圖 2-15同理校時電路和圖2-15 相同。鬧鐘的校時與校分電路第 25 頁則更為簡單, c0直接接0即可,其他與
31、圖 2-15 相同。2.4 整體電路上面已經(jīng)完成各個單元電路的設計,將各部分封裝后連接成數(shù)字鐘的整體電路圖如2-16 所示。詳細見手繪電路圖。圖 2-16 第 26 頁2.5 單元電路功能測試下面各個部分電路的功能測試都是在foundation3.1上仿真的結果。2.5.1 分頻器 f1hz 將 2khz分成 1k hz,500hz,以及 1hz 圖 2-17 由 2-17 圖可見 1khz信號的周期是輸入cp脈沖的 2 倍,500hz信號的周期是 1khz的 2 倍,可見分頻是正確的。下圖 2-18 將仿真的步長改成 2us而輸入 cp的周期為 1ns,經(jīng)過 2us 就有一個脈沖,這個輸出可
32、以接到秒計數(shù)器的cp端。圖 2-18 第 27 頁2.5.1 秒計數(shù)器秒計數(shù)器與分計數(shù)器的功能是以60 為周期計數(shù),當計數(shù)到 59 的時候產(chǎn)生進位信號輸出到下一級計數(shù)器。仿真結果如圖 2-19 所示。圖 2-19 由圖可以看出個位以10 為周期進位,在計數(shù)到59 后進位信號 co產(chǎn)生一個上升沿。實現(xiàn)了預期的計數(shù)與進位功能。2.5.2 24進制計數(shù)器與 60 進制計數(shù)器相似,其計數(shù)周期為24 ,在計到 23后變成 00。仿真結果如圖 2-30。圖 2-30 第 28 頁圖中藍色豎線的地方就是進位的地方,可見在23 后計數(shù)器變成 00,功能正確。25.312 與 24 小時轉(zhuǎn)換電路功能仿真此電路要
33、就將24 小時進制的時間轉(zhuǎn)換成12 小時進制的時間。為了檢測仿真的結果,輸入“14”此時的結果應該輸出“02” ,同時 amorpm輸出的應該是低電平。 圖 2-31是仿真結果。圖 2-31 2.5.4 四位動態(tài)顯示四位動態(tài)顯示在一個cp計數(shù)脈沖中顯示的是輸入的一位數(shù)字,以四為周期循環(huán)顯示輸入的四位數(shù)字。輸入 1234,圖 2-32 是仿真后的結果。第 29 頁圖 2-32 2.3.6 整點與學號報時電路功能測試整點與學號報時電路要求在59 分以及學號( 1426)前一分鐘的 51 秒,53 秒,55 秒,57 秒輸出 500hz信號,59 秒時輸出 1khz的信號。圖 2-33 是在 14
34、點 25 分 51 秒的時候仿真的波形圖。此時應該輸出 500hz的信號。圖 2-33 由圖可見 outf 輸出端與 500hz信號的輸出波形相同。再測試整點報時在59 分 59 秒時的波形圖。如圖2-34 所示。第 30 頁圖 2-34 此時 outf輸出的波形與1khz相同,整點與學號報時功能測試通過。2.3.7 鬧鐘功能測試將鬧鐘設置成18 點 18 分,然后調(diào)整時鐘的時間,觀察波形如圖 2-35 所示。圖 2-35 圖中藍色豎線的地方時鐘時間為18 點 18 分,與鬧鐘時間相同,鬧鐘輸出端變成高電平,接到外面的控制電路即可輸出 1khz的高頻信號。測試鬧鐘功能正確。第 31 頁2.3.
35、8校時校分功能測試數(shù)字鐘在剛接通電源或者走時出現(xiàn)誤差的時候需要進行校時,校分,以調(diào)整時間。在控制端輸入高電平時電路進入校時狀態(tài),輸入一個脈沖時或分的計數(shù)器則增加一。在調(diào)整時的時候不影響分與秒的走時,在調(diào)整分的時候不影響秒的走時。測試波形圖如圖2-36 所示。圖 2-36 測試波形在前 90us 中校時與校分控制端都接入高電平所以在輸入的脈沖信號有一個上升沿時時與分就都增加1,而在 90時 us 后校分的控制端接低電平,由波形圖可以看出,此后只有時的計數(shù)器在輸入脈沖到上升沿時才增加 1, 而分的計數(shù)器保持不變。 校時功能仿真結果正確。第 32 頁2.6 整體電路功能測試在進行了各個單元電路的測試
36、后,接下來進行整體電路功能測試,測試步驟如下:1. 調(diào)整時間到 13 點 24 分,即進行校時校分。2. 顯示 12 小時進制時間以及上下午指示,檢測 12 與 24進制轉(zhuǎn)換。3. 調(diào)整時間到 14 點 25 分,檢測計數(shù)器進位功能。4. 檢測學號報時功能在14 點 25 分 51 秒,53 秒,55 秒,57 秒輸出 500hz信號,在 59 秒時輸出 1khz信號。5. 調(diào)整時間到 15 點 59 分檢測整點報時功能。6. 調(diào)整鬧鐘到 16 點 16 分檢測鬧鐘報時功能。整體功能仿真結果如下:如圖 2-37 藍色豎線顯示的時間是10 點 16 分 44 秒,在下一個時刻將adjusth 控
37、制開關置為 1 ,此時調(diào)整時的計時,可以看到當insinglecp 有一個上升沿的時候時的技術就加 1。 在將時調(diào)整到 13 點的時候,將 adjusth控制開關置為 0 ,將校分控制開關置為 1此時為校分,由圖可以看出每當insinglecp 出現(xiàn)一個上升沿的時候分的計數(shù)就加1 一直調(diào)整到 13 點 24 分,將 adjustm第 33 頁控制開關也置為 0進行正常計時。同時在圖中也可以看出在 10 點 16 分 44 秒的時候 amopad 輸出的是 1 ,pmopad輸出的是 0表示上午。而在 13 點 24 分的時候pmopad輸出的是 1 ,amopad輸出的是 0表示下午。至此校時
38、校分功能,以及上下午顯示功能均測試通過。圖 2-37 接下來將控制開關choose12or24開關置為 0 ,此時顯示的應該是 12 小時進制的時間。接下來的時刻應該顯示下午 1 點 24 分。如圖 2-38 中藍色豎線處所示。第 34 頁圖 2-38 然后將時間調(diào)整到14 點 25 分,在 51,53,55,57 秒時 jtclockout輸出的波形與 500hz的波形相同且持續(xù)時間達到一秒,如圖2-39 所示。圖 2-39 當達到 14 點 25 分 59 秒時 jtclockout輸出的波形與第 35 頁1khz的相同,當?shù)?14 點 26 分 00 秒時結束。如圖2-40所示。圖 2-
39、40 接下來調(diào)整時間到15 點 59 分檢測整點報時功能。如圖 2-41 所示,在 51, 53, 55, 57 秒的時候輸出的是500hz的波形,在 59 秒時輸出的是 1khz的波形。如圖 2-41 所示。第 36 頁圖 2-41 接下來測試鬧鐘功能,首先將顯示鬧鐘控制開關choosedtxs置為1調(diào)整鬧鐘時間到 16 點 16 分然后觀察在時鐘到 16 點 16 分 cloclkring輸出的信號。觀測結果如圖 2-42 所示。 可見在 16 點 16 分 00秒時 cloclkring的輸出波形與 1khz的輸出波形相同。鬧鐘功能通過測試。圖 2-42 至此整體功能測試已經(jīng)結束。綜上可
40、見數(shù)字電子鐘整體功能均已實現(xiàn)。第 37 頁2.7 實驗完成情況電路功能完成情況計時24 小時轉(zhuǎn)換成 12 小時顯示時間與上下午校時校分鬧鐘整點報時學號報時分頻與秒閃本次實驗中要求的功能均已完成達到了設計的要求。第 38 頁第三章原件清單74160 15 個74153 19 個74139 1 個74161 1 個與非門 7 個與門 9 個同或門 16 個或門 1 個第 39 頁第四章 結束語為期兩周的課程設計結束了,在這里我要說一下我的感受以及我發(fā)現(xiàn)的問題。這次課程設計是第一次將所學的知識綜合應用到實際中去,剛開始拿到這個課程設計題目的時候感覺無從下手,因為學習數(shù)字電路已經(jīng)有一年多了,很多東西都有些遺忘了。在看了實驗書上的基本實驗框圖后,才感覺有了一些眉目。在實驗課第一天結束后回到宿舍預習實驗內(nèi)容時感覺很吃力,只做好了一個分頻器,60進制的計數(shù)器以及一個24進制的計數(shù)器。可當把預習報告交上去后,老師說全班交上去所有的實驗報告都在 50 分以下,大家設計的連三分之一都不到。這時感覺此次課程設計并不輕松。在實驗的前三天迅速把數(shù)字電路的相關知識學習了一下,又到圖書館借閱了基本跟 eda有關的書籍,這才找到了一點感覺。實驗進行到第五天我才連出了一個可以計時的電路,只有一
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 注射模具保養(yǎng)培訓課件
- 向家長介紹區(qū)域活動
- 華為交換機培訓詳解
- 左肺癌病人護理查房
- 2.1大氣的組成和垂直分層(教學設計)高一地理同步高效課堂(人教版2019必修一)
- 北京市大興區(qū)2024-2025學年八年級上學期期中考試英語試題(含答案)
- 大單元視域下的單元整體教學與實施
- 信息技術(第2版)(拓展模塊)教案4-模塊3 3.4 大數(shù)據(jù)分析算法
- 2024年內(nèi)蒙古包頭市中考英語試題含解析
- 新版人教版一年級下冊思想品德全冊教案
- 鋼結構工程施工(第五版) 課件 單元七 鋼結構施工安全
- 2024年濟南市中區(qū)人民醫(yī)院招考聘用實行人員控制總量備案管理工作人員【重點基礎提升】模擬試題(共500題)附帶答案詳解
- 基于網(wǎng)絡流量分析的威脅檢測研究
- 2024家教服務三方協(xié)議
- 《民航旅客運輸》試卷及答案4套
- 中職-技能高考-機械制圖
- DZ∕T 0130.6-2006 地質(zhì)礦產(chǎn)實驗室測試質(zhì)量管理規(guī)范 第6部分:水樣分析(正式版)
- 國外幼兒常規(guī)教育現(xiàn)狀研究綜述
- 小學英語數(shù)字化教學策略創(chuàng)新與實踐
- 專題地方課程教材采購售后服務方案
- 醫(yī)療設備維保服務售后服務方案
評論
0/150
提交評論