通信原理課程設(shè)計(jì)-2PSK、2DPSK數(shù)字信號(hào)頻帶傳輸系統(tǒng)的設(shè)計(jì)與建模_第1頁
通信原理課程設(shè)計(jì)-2PSK、2DPSK數(shù)字信號(hào)頻帶傳輸系統(tǒng)的設(shè)計(jì)與建模_第2頁
通信原理課程設(shè)計(jì)-2PSK、2DPSK數(shù)字信號(hào)頻帶傳輸系統(tǒng)的設(shè)計(jì)與建模_第3頁
通信原理課程設(shè)計(jì)-2PSK、2DPSK數(shù)字信號(hào)頻帶傳輸系統(tǒng)的設(shè)計(jì)與建模_第4頁
通信原理課程設(shè)計(jì)-2PSK、2DPSK數(shù)字信號(hào)頻帶傳輸系統(tǒng)的設(shè)計(jì)與建模_第5頁
已閱讀5頁,還剩25頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、武漢理工大學(xué)數(shù)字通信系統(tǒng)課程設(shè)計(jì)說明書學(xué) 號(hào): 課 程 設(shè) 計(jì)題 目2PSK、2DPSK數(shù)字信號(hào)頻帶傳輸系統(tǒng)的設(shè)計(jì)與建模學(xué) 院信息工程學(xué)院專 業(yè)電子信息工程班 級電信1306班 姓 名指導(dǎo)教師2016年 1月8日課程設(shè)計(jì)任務(wù)書學(xué)生姓名: 專業(yè)班級: 電信1306班 指導(dǎo)教師: 工作單位: 信息工程學(xué)院 題 目: 2PSK、2DPSK數(shù)字信號(hào)頻帶傳輸系統(tǒng)的設(shè)計(jì)與建模初始條件:(1)MAX+plus、Quartus II、ISE等軟件;(2)課程設(shè)計(jì)輔導(dǎo)書:通信原理課程設(shè)計(jì)指導(dǎo)(3)先修課程:數(shù)字電子技術(shù)、模擬電子技術(shù)、電子設(shè)計(jì)EDA、通信原理要求完成的主要任務(wù): (包括課程設(shè)計(jì)工作量及其技術(shù)要求

2、,以及說明書撰寫等具體要求)(1)課程設(shè)計(jì)時(shí)間:(2)課程設(shè)計(jì)題目:2PSK、2DPSK數(shù)字信號(hào)頻帶傳輸系統(tǒng)的設(shè)計(jì)與建模(3)本課程設(shè)計(jì)統(tǒng)一技術(shù)要求:按照要求對題目進(jìn)行邏輯分析,了解2PSK數(shù)字信號(hào)的產(chǎn)生方法,畫出2PSK調(diào)制解調(diào)的方框圖,編寫VHDL語言程序,上機(jī)調(diào)試、仿真,記錄實(shí)驗(yàn)結(jié)果波形,對實(shí)驗(yàn)結(jié)果進(jìn)行分析; (4)課程設(shè)計(jì)說明書按學(xué)校“課程設(shè)計(jì)工作規(guī)范”中的“統(tǒng)一書寫格式”撰寫,并標(biāo)明參考文獻(xiàn)至少5篇;(5)寫出本次課程設(shè)計(jì)的心得體會(huì)(至少500字)。時(shí)間安排:第19周參考文獻(xiàn):段吉海.數(shù)字通信系統(tǒng)建模與設(shè)計(jì).北京:電子工業(yè)出版社,2004 江國強(qiáng).EDA技術(shù)與應(yīng)用. 北京:電子工業(yè)出

3、版社,2010 John G. Proakis.Digital Communications. 北京:電子工業(yè)出版社,2011指導(dǎo)教師簽名: 年 月 日系主任(或責(zé)任教師)簽名: 年 月 日摘 要移動(dòng)通信迅速發(fā)展的得以實(shí)現(xiàn),離不開數(shù)字處理技術(shù)。其中,數(shù)字調(diào)制與解調(diào)技術(shù)在通信領(lǐng)域中發(fā)揮著重大作用。為了使數(shù)字信號(hào)在帶通信道中傳輸,必須使用數(shù)字基帶信號(hào)對載波進(jìn)行調(diào)制,以使信號(hào)與信道的特性匹配,再在接收端通過解調(diào)恢復(fù)出原始數(shù)字信號(hào),實(shí)現(xiàn)數(shù)字信息的傳遞。相移鍵控(2PSK)就是數(shù)字信號(hào)調(diào)制的一種有用并且廣泛使用的方式。為了很好地完成本次數(shù)字通信系統(tǒng)課程設(shè)計(jì),我對2PSK、2DPSK的調(diào)制與解調(diào)原理進(jìn)行了

4、深入的了解和研究;利用仿真軟件Quartus II,對2PSK、2DPSK進(jìn)行調(diào)制與解調(diào)的設(shè)計(jì)和仿真,并對仿真結(jié)果進(jìn)行了分析。關(guān)鍵詞:2PSK;2DPSK;Quartus II;數(shù)字調(diào)制;仿真目 錄1.實(shí)驗(yàn)使用軟件概述11.1 Quartus II簡介11.2 Quartus II功能22.基本原理及建模32.1 二進(jìn)制相移鍵控(2PSK與2DPSK)的概念32.2 2PSK的調(diào)制與解調(diào)原理32.2.1 2PSK的調(diào)制32.2.2 2PSK調(diào)制電路的VHDL建模42.2.3 2PSK的解調(diào)92.2.4 2PSK解調(diào)電路的VHDL建模52.3 2DPSK的調(diào)制與解調(diào)原理62.3.1 2DPSK的

5、調(diào)制72.3.2 DPSK調(diào)制電路方框圖模型82.3.3 2DPSK的解調(diào)92.3.4 2DPSK解調(diào)電路的建模113.仿真結(jié)果記錄與分析123.1 2PSK的調(diào)制與解調(diào)123.1.1 2PSK調(diào)制器與解調(diào)器的程序代碼及波形123.1.2 2PSK調(diào)制與解調(diào)的仿真電路圖133.1.3 2PSK調(diào)制與解調(diào)仿真波形與結(jié)果分析143.2 2DPSK的調(diào)制與解調(diào)153.2.1 2DPSK調(diào)制器與解調(diào)器的程序代碼及波形153.2.2 2DPSK調(diào)制與解調(diào)的仿真電路圖163.2.3 2DPSK調(diào)制與解調(diào)仿真波形及結(jié)果分析174.心得體會(huì)185.參考文獻(xiàn)19附錄一:2PSK調(diào)制器的程序代碼20附錄二:2PS

6、K解調(diào)器的程序代碼22附錄三:2DPSK調(diào)制器絕對碼轉(zhuǎn)換為相對碼的程序代碼23附錄四:2DPSK解調(diào)器相對碼轉(zhuǎn)換為絕對碼的程序代碼242PSK、2DPSK數(shù)字信號(hào)頻帶傳輸系統(tǒng)的設(shè)計(jì)與建模1.實(shí)驗(yàn)使用軟件概述1.1 Quartus II簡介圖1.1 QuartusII圖標(biāo)Quartus II 是Altera公司的綜合性PLD/數(shù)字通信系統(tǒng)開發(fā)軟件,支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多種設(shè)計(jì)輸入形式,內(nèi)嵌自有的綜合器以及仿真器,可以完成從設(shè)計(jì)輸入到硬件配置的完整PLD設(shè)計(jì)流程。Quartus II可以

7、在XP、Linux以及Unix上使用,除了可以使用Tcl腳本完成設(shè)計(jì)流程外,提供了完善的用戶圖形界面設(shè)計(jì)方式。具有運(yùn)行速度快,界面統(tǒng)一,功能集中,易學(xué)易用等特點(diǎn)。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模塊庫,使用戶可以充分利用成熟的模塊,簡化了設(shè)計(jì)的復(fù)雜性、加快了設(shè)計(jì)速度。對第三方EDA工具的良好支持也使用戶可以在設(shè)計(jì)流程的各個(gè)階段使用熟悉的第三方EDA工具。此外,Quartus II 通過和DSP Builder工具與Matlab/Simulink相結(jié)合,可以方便地實(shí)現(xiàn)各種DSP應(yīng)用系統(tǒng);支持Altera的片上可編程系統(tǒng)(SOPC)開發(fā),集

8、系統(tǒng)級設(shè)計(jì)、嵌入式軟件開發(fā)、可編程邏輯設(shè)計(jì)于一體,是一種綜合性的開發(fā)平臺(tái)。Altera Quartus II 作為一種可編程邏輯的設(shè)計(jì)環(huán)境, 由于其強(qiáng)大的設(shè)計(jì)能力和直觀易用的接口,越來越受到數(shù)字系統(tǒng)設(shè)計(jì)者的歡迎。261.2 Quartus II功能Quartus II提供了完全集成且與電路結(jié)構(gòu)無關(guān)的開發(fā)包環(huán)境,具有數(shù)字邏輯設(shè)計(jì)的全部特性,包括:l 可利用原理圖、結(jié)構(gòu)框圖、VerilogHDL、AHDL和VHDL完成電路描述,并將其保存為設(shè)計(jì)實(shí)體文件;l 芯片(電路)平面布局連線編輯;l LogicLock增量設(shè)計(jì)方法,用戶可建立并優(yōu)化系統(tǒng),然后添加對原始系統(tǒng)的性能影響較小或無影響的后續(xù)模塊;l

9、 功能強(qiáng)大的邏輯綜合工具;l 完備的電路功能仿真與時(shí)序邏輯仿真工具;l 定時(shí)/時(shí)序分析與關(guān)鍵路徑延時(shí)分析;l 可使用SignalTap II邏輯分析工具進(jìn)行嵌入式的邏輯分析;l 支持軟件源文件的添加和創(chuàng)建,并將它們鏈接起來生成編程文件;l 使用組合編譯方式可一次完成整體設(shè)計(jì)流程;l 自動(dòng)定位編譯錯(cuò)誤;l 高效的期間編程與驗(yàn)證工具;l 可讀入標(biāo)準(zhǔn)的EDIF網(wǎng)表文件、VHDL網(wǎng)表文件和Verilog網(wǎng)表文件;l 能生成第三方EDA軟件使用的VHDL網(wǎng)表文件和Verilog網(wǎng)表文件。2. 基本原理及建模2.1 二進(jìn)制相移鍵控(2PSK與2DPSK)的概念數(shù)字信號(hào)對載波相位調(diào)制稱為相移鍵控(即相位鍵控

10、)PSK(PhaseShift Keying)。數(shù)字相位調(diào)制(相位鍵控)是用數(shù)字基帶信號(hào)控制載波的相位,使載波的相位發(fā)生跳變的一種調(diào)制方式。數(shù)字調(diào)相(相位鍵控)常分為:(1)絕對調(diào)相,記為PSK;(2)相對調(diào)相,記為DPSK。二進(jìn)制相位鍵控是利用二進(jìn)制數(shù)字基帶信號(hào)去控制連續(xù)載波的相位,其相位攜帶數(shù)字基帶信號(hào)的信息。二進(jìn)制相移鍵控可分為二進(jìn)制絕對相移鍵控(2PSK)和二進(jìn)制相對相移鍵控(2DPSK)。2.2 2PSK的調(diào)制與解調(diào)原理2PSK即二進(jìn)制絕對調(diào)相,是利用載波的不同相位去直接傳送數(shù)字信息的一種方式。對于2PSK,若用相位代表“0”碼,相位0代表“1”碼,即規(guī)定數(shù)字基帶信號(hào)為“0”碼時(shí),已

11、調(diào)信號(hào)相對于載波的相位為;數(shù)字基帶信號(hào)為“1”碼時(shí),已調(diào)信號(hào)相對于載波相位為同相。按此規(guī)定,2PSK信號(hào)的數(shù)學(xué)表示式為 (2.1)式中為載波的初相位。受控載波在0、兩個(gè)相位上變化。關(guān)于PSK波形的特點(diǎn),必須強(qiáng)調(diào)的是:PSK波形相位是相對于載波相位而言的。因此畫PSK波形時(shí),必須先把載波畫好,然后根據(jù)相位的規(guī)定,才能畫出它的波形。 2.2.1 2PSK的調(diào)制PSK調(diào)制有直接調(diào)相法和相位選擇法兩種方法。1.直接調(diào)相法直接調(diào)相法的電路采用一個(gè)環(huán)形調(diào)制器。在2PSK調(diào)制中,當(dāng)基帶信號(hào)為正時(shí),輸出載波與輸入同相,當(dāng)基帶信號(hào)為負(fù)時(shí),輸出載波與輸入載波反相,從而實(shí)現(xiàn)了2PSK調(diào)制。2.相位選擇法相位選擇法電

12、路如圖2.1所示,設(shè)振蕩器產(chǎn)生的載波信號(hào)為,它加到與門1,同時(shí)該振蕩信號(hào)經(jīng)倒相器變?yōu)?,加到與門2,基帶信號(hào)和它的倒相信號(hào)分別作為與門1及與門2的選通信號(hào)?;鶐盘?hào)為1碼時(shí),與門1選通,輸出為;基帶信號(hào)為“0”碼時(shí),與門2選通,輸出為,即可得到2PSK信號(hào)。振蕩器&&1基帶信號(hào)12圖2.1 相位選擇法電路 2.2.2 2PSK調(diào)制電路的VHDL建模2PSK調(diào)制方框圖和2PSK調(diào)制電路符號(hào)如圖2.2和圖2.3所示。2PSK調(diào)制器模型主要由計(jì)數(shù)器和二選一開關(guān)等組成。計(jì)數(shù)器對外部時(shí)鐘信號(hào)進(jìn)行分頻與計(jì)數(shù),并輸出兩路相位相反的數(shù)字載波信號(hào);二選一開關(guān)的功能是:在基帶信號(hào)的控制下,對兩路載波

13、信號(hào)進(jìn)行選通,輸出的信號(hào)即為PSK信號(hào)。圖中沒有包含模擬電路部分,輸出信號(hào)為數(shù)字信號(hào)。FPGACLKSTART基帶信號(hào)計(jì)數(shù)器q0相載波相載波二選一開關(guān)調(diào)制信號(hào)圖2.2 2PSK調(diào)制框圖圖2.3 2PSK調(diào)制仿真電路符號(hào)2.2.3 2PSK的解調(diào)圖2.4 2PSK的解調(diào)原理框圖2.2.4 2PSK解調(diào)電路的VHDL建模2PSK解調(diào)器的建模方框圖和解調(diào)電路的VHDL建模符號(hào)如圖2.4和圖2.5所示。圖中的計(jì)數(shù)器q輸出與發(fā)端同步的0相數(shù)字載波。判決器的工作原理是:把計(jì)數(shù)器輸出的0相載波與數(shù)字2PSK信號(hào)中的載波進(jìn)行邏輯“與”運(yùn)算,當(dāng)兩比較信號(hào)在判決時(shí)刻都為“1”時(shí),輸出為“1”,否則輸出為“0”,以

14、實(shí)現(xiàn)解調(diào)的目的。圖中沒有包含模擬電路部分,調(diào)制信號(hào)為數(shù)字信號(hào)。FPGACLKSTART調(diào)制信號(hào)計(jì)數(shù)器q判決基帶信號(hào)圖2.5 PSK解調(diào)框圖圖2.6 2PSK解調(diào)電路的VHDL建模符號(hào)2.3 2DPSK的調(diào)制與解調(diào)原理二進(jìn)制相對調(diào)相(相對移相),即2DPSK,也稱為差分調(diào)相,這種方式用載波相位的相對變化來傳送數(shù)字信號(hào),即利用前后碼之間載波相位的變化表示數(shù)字基帶信號(hào)的。所謂相位變化又有向量差和相位差兩種定義方法。向量差是指前一碼元的終相位與本碼元初相位比較,是否發(fā)生相位變化。而相位差是指前后兩碼元的初相位是否發(fā)生了變化。對同一個(gè)基帶信號(hào),按向量差和相位差畫出的DPSK波形是不同的。例如在相位差法中

15、,在絕對碼出現(xiàn)“1”碼時(shí),DPSK的載波初相位即前后兩碼元的初相位相對改變。出現(xiàn)“0”碼時(shí),DPSK的載波相位即前后兩碼元的初相位相對不變。在向量差法中,在絕對碼出現(xiàn)“1”碼時(shí),2DPSK的載波初相位相對前一碼元的終相位改變。出現(xiàn)“0”碼時(shí),2DPSK的載波初相位相對前一碼元的終相位連續(xù)不變。在畫2DPSK波形時(shí),第一個(gè)碼元波形的相位可任意假設(shè)。2.3.1 2DPSK的調(diào)制1.相對移相信號(hào)(2DPSK)的產(chǎn)生相對移相信號(hào)(2DPSK)是通過碼變換加 PSK調(diào)制產(chǎn)生,其產(chǎn)生原理如下圖所示。這種方法是把原基帶信號(hào)經(jīng)過絕對碼相對碼變換后,用相對碼進(jìn)行PSK調(diào)制,其輸出便是2DPSK信號(hào),即相對調(diào)相可

16、以用絕對碼一相對碼變換加上絕對調(diào)相來實(shí)現(xiàn)。 圖2.7 DPSK調(diào)制原理圖2.絕對碼一相對碼變換關(guān)系若假設(shè)絕對調(diào)相按“1”碼同相,“0”碼相的規(guī)律調(diào)制;而相對調(diào)相按“1”碼相位變化(移相),“0”碼相位不變規(guī)律調(diào)制。按此規(guī)定,絕對碼記為,相對碼記為,絕對碼一相對碼變換電路如下圖所示圖2.8 絕對碼一相對碼變換電路圖絕對碼一相對碼之間的關(guān)系為: (2.2)根據(jù)上述關(guān)系,絕對碼與相對碼(差分碼)可以相互轉(zhuǎn)換。圖2.9 (a)、(b)分別為絕對碼變?yōu)橄鄬Υa的電路及波形圖2.10 (a)、(b)分別為相對碼變?yōu)榻^對碼的電路及波形2DPSK信號(hào)的產(chǎn)生,先需將絕對碼變換為相對碼,然后用相對碼對載波進(jìn)行絕對調(diào)

17、相,即可得到相對碼調(diào)相(2DPSK)信號(hào)。圖2.11 產(chǎn)生2DPSK信號(hào)電路框圖2.3.2 DPSK調(diào)制電路方框圖模型DPSK調(diào)制方框圖和DPSK調(diào)制電路的VHDL建模符號(hào)如圖2.9和圖2.10所示,圖中的計(jì)數(shù)器與圖2.5中的計(jì)數(shù)器相同。異或門與寄存器共同完成絕/相對碼變換功能;CPSK調(diào)制器與圖2.2的CPSK調(diào)制器相同。FPGACPSK調(diào)制clkstart絕對碼計(jì)數(shù)器異或寄存器相對碼CPSK調(diào)制調(diào)制信號(hào)圖2.12 2DPSK調(diào)制電路方框圖圖2.13 2DPSK調(diào)制電路的VHDL建模符號(hào)2.3.3 2DPSK的解調(diào)2DPSK信號(hào)的解調(diào)方法有兩種:(1)極性比較法(又稱同步解調(diào)或相干解調(diào))(2

18、)相位比較法(是一種非相干解調(diào))。1、極性比較法在極性比較法電路中,輸入的2DPSK信號(hào)經(jīng)帶通后加到乘法器,乘法器將輸入信號(hào)與載波極性比較。經(jīng)極性比較法電路解調(diào),還原的是相對碼。要得到原基帶信號(hào),必須經(jīng)相對碼一絕對碼變換器,由相對碼還原成絕對碼,得到原絕對碼基帶信號(hào)。2DPSK解調(diào)器由三部分組成,乘法器和載波提取電路實(shí)際上就是相干檢測器。后面的相對碼(差分碼)絕對碼的變換電路,即相對碼(差分碼)譯碼器,其余部分完成低通判決任務(wù)。2、 相位比較法圖2.14 2DPSK相位比較法解調(diào)器原理框圖2DPSK相位比較法解調(diào)器原理框圖及其相應(yīng)的波形圖如上圖示。其基本原理是將接收到的前后碼元所對應(yīng)的調(diào)相波進(jìn)

19、行相位比較,它是以前一碼元的載波相位作為后一碼元的參考相位,所以稱為相位比較法或稱為差分檢測法。該電路與極性比較法不同之處在于乘法器中與信號(hào)相乘的不是載波,而是前一碼元的信號(hào),該信號(hào)相位隨機(jī)且有噪聲,它的性能低于極性比較法的性能。 輸入的2DPSK信號(hào)一路直接加到乘法器,另一路經(jīng)延遲線延遲一個(gè)碼元的時(shí)間TB后,加到乘法器作為相干載波。若不考慮噪聲影響,設(shè)前一碼元載波的相位為,后一碼元載波的相位為,則乘法器的輸出為:(2.3)經(jīng)低通濾波器濾除高頻項(xiàng),輸出為 (2.4)式中 ,是前后碼元對應(yīng)的載波相位差。由調(diào)相關(guān)系知?jiǎng)t取樣判決器的判決規(guī)則為可直接解調(diào)出原絕對碼基帶信號(hào)。這里應(yīng)強(qiáng)調(diào)的是,相位比較法電

20、路是將本碼元信號(hào)與前一碼元信號(hào)相位比較,它適合于按相位差定義的2DPSK信號(hào)的解調(diào),對碼元寬度為非整數(shù)倍載頻周期的按向量差定義的2DPSK信號(hào),該電路不適用。2.3.4 2DPSK解調(diào)電路的建模FPGAclkstart相對碼計(jì)數(shù)器寄存器異或絕對碼2DPSK解調(diào)采用PSK解調(diào)加相對碼到絕對碼即可實(shí)現(xiàn)。相對碼到絕對碼的轉(zhuǎn)換方框圖和電路建模符號(hào)如下,相、絕變換過程都是以計(jì)數(shù)器輸出信號(hào)為時(shí)鐘的控制下完成的。圖2.15 相對碼絕對碼轉(zhuǎn)換方框圖圖2.16 2DPSK解調(diào)電路建模符號(hào)3. 仿真結(jié)果記錄與分析3.1 2PSK的調(diào)制與解調(diào)3.1.1 2PSK調(diào)制器與解調(diào)器的程序代碼及波形圖3.1 2PSK調(diào)制器

21、的程序代碼圖3.2 2PSK調(diào)制的波形 圖3.3 2PSK解調(diào)器的程序代碼圖3.4 2PSK解調(diào)的波形3.1.2 2PSK調(diào)制與解調(diào)的仿真電路圖圖3.5 2PSK調(diào)制與解調(diào)的仿真電路圖3.1.3 2PSK調(diào)制與解調(diào)仿真波形與結(jié)果分析圖3.6 2PSK調(diào)制與解調(diào)的仿真波形結(jié)果(1)調(diào)制分析:當(dāng)start信號(hào)為高電平時(shí),進(jìn)行2PSK調(diào)制;載波信號(hào)f1、f2是通過輸入時(shí)鐘脈沖clk分頻得到的,且滯后輸入時(shí)鐘一個(gè)時(shí)鐘周期;調(diào)制輸出信號(hào)y滯后載波一個(gè)時(shí)鐘周期,滯后輸入時(shí)鐘兩個(gè)時(shí)鐘周期。計(jì)數(shù)器q對外部時(shí)鐘信號(hào)clk進(jìn)行分頻與計(jì)數(shù),并輸出兩路相位相反的數(shù)字載波信號(hào)f1、f2;2選1開關(guān)在基帶信號(hào)x的控制下,

22、對兩路載波信號(hào)進(jìn)行選擇,當(dāng)基帶信號(hào)x=1時(shí),輸出信號(hào)y=f1,當(dāng)基帶信號(hào)x=1時(shí),輸出信號(hào)y=f2,輸出信號(hào)y即為2PSK信號(hào)。(2)解調(diào)分析:當(dāng)start信號(hào)為高電平時(shí),進(jìn)行2PSK解調(diào);當(dāng)q=0時(shí),根據(jù)x的電平來進(jìn)行判決;輸出信號(hào)y滯后輸入信號(hào)x一個(gè)時(shí)鐘周期。計(jì)數(shù)器q輸出與發(fā)射端同步的0相數(shù)字載波;將計(jì)數(shù)器輸出的0相載波與數(shù)字2PSK信號(hào)中的載波進(jìn)行與運(yùn)算,當(dāng)兩比較信號(hào)在判決時(shí)刻都為1時(shí),輸出為1,否則輸出為0,從而實(shí)現(xiàn)解調(diào)。在計(jì)數(shù)器q=0時(shí),根據(jù)調(diào)制信號(hào)此時(shí)的電平高低,來進(jìn)行判決。在本次課程設(shè)計(jì)中,2PSK信號(hào)的調(diào)制與解調(diào)綜合波形如圖3.6所示,存在相位模糊現(xiàn)象,這是由于2PSK信號(hào)實(shí)際

23、上是以一個(gè)固定初相的末調(diào)載波為參考的,因此,解調(diào)時(shí)必須有與此同頻同相的同步載波。如果同步載波的相位發(fā)生變化,如0相位變?yōu)橄辔换蛳辔蛔優(yōu)?相位,則恢復(fù)的數(shù)字信息就會(huì)發(fā)生“0”變“1”或“1”變“0”,從而造成錯(cuò)誤的恢復(fù)。這種因?yàn)楸镜貐⒖驾d波倒相,而在接收端發(fā)生錯(cuò)誤恢復(fù)的現(xiàn)象稱為“倒”現(xiàn)象或“反向工作”現(xiàn)象。絕對移相的主要缺點(diǎn)是容易產(chǎn)生相位模糊,造成反向工作。為了解決此問題,引入差分相移鍵控信號(hào)2DPSK。3.2 2DPSK的調(diào)制與解調(diào)3.2.1 2DPSK調(diào)制器與解調(diào)器的程序代碼及波形圖3.7 2DPSK調(diào)制器的程序代碼圖3.8 2DPSK調(diào)制的波形圖3.9 2DPSK解調(diào)器的程序代碼圖3.10

24、 2DPSK解調(diào)器的波形3.2.2 2DPSK調(diào)制與解調(diào)的仿真電路圖圖3.11 2DPSK調(diào)制與解調(diào)的仿真電路圖3.2.3 2DPSK調(diào)制與解調(diào)仿真波形及結(jié)果分析圖3.12 2DPSK調(diào)制與解調(diào)仿真波形圖(1)調(diào)制分析:當(dāng)start信號(hào)為高電平時(shí),進(jìn)行2DPSK調(diào)制;當(dāng)q=0時(shí),輸出信號(hào)y是輸入信號(hào)x與xx的異或,并滯后于輸入信號(hào)x一個(gè)時(shí)鐘周期。把原基帶信號(hào)經(jīng)過絕對碼-相對碼變換后,絕對碼-相對碼變換采用基帶信號(hào)與延時(shí)后的輸出信號(hào)異或,再用相對碼進(jìn)行CPSK調(diào)制,即可得到2DPSK信號(hào)。(2)解調(diào)分析:當(dāng)start信號(hào)為高電平時(shí),進(jìn)行2DPSK解調(diào);當(dāng)q=3時(shí),輸出信號(hào)y是輸入信號(hào)x與xx的異

25、或,并滯后于輸入信號(hào)x一個(gè)基帶碼長即4個(gè)輸入時(shí)鐘周期。經(jīng)過相對碼轉(zhuǎn)換為絕對碼后,輸出信號(hào)y即為2DPSK解調(diào)出來的基帶信號(hào)。在本次課程設(shè)計(jì)中,2DPSK信號(hào)的調(diào)制與解調(diào)綜合波形如圖3.12所示,與2PSK的波形不同,2DPSK波形的同一相位并不對應(yīng)相同的數(shù)字信息符號(hào),而前后碼元的相對相位才唯一確定信息符號(hào)。這說明解調(diào)2DPSK信號(hào)時(shí),并不依賴于某一固定的載波相位參考值,只要前后碼元的相對相位關(guān)系不破壞,則鑒別這個(gè)相位關(guān)系就可正確恢復(fù)數(shù)字信息。這就避免了2PSK方式中的“倒”現(xiàn)象發(fā)生。由于相對移相調(diào)制無“反向工作”問題,因此得到廣泛的應(yīng)用。4. 心得體會(huì) 經(jīng)歷了一個(gè)星期的查閱資料和理論分析,終于

26、完成了通信原理課程設(shè)計(jì)的編程和報(bào)告。本次通信原理課程設(shè)計(jì)主要任務(wù)是完成2PSK、2DPSK數(shù)字信號(hào)頻帶傳輸系統(tǒng)的設(shè)計(jì)與建模,對我來說本次設(shè)計(jì)還是很有挑戰(zhàn)性的,因?yàn)樽约簩?Quartus II這一個(gè)軟件的使用方法了解很少,但正是這種有挑戰(zhàn)性的課設(shè)要求才能提高自己的能力,入手這個(gè)題目后我查閱了相關(guān)的資料,先進(jìn)行相關(guān)軟件的基礎(chǔ)使用方法,多試多練后開始著手本次課程設(shè)計(jì)的題目要求。 對于本次課程設(shè)計(jì)的要求,我在實(shí)驗(yàn)前先熟悉了一下Quartus II軟件的一些操作和它的界面,對Quartus II如何使用有了初步的了解。于是,我便在上面輸入了一些資料上的程序,逐漸體會(huì)到Quartus II的功能。我先從網(wǎng)

27、上和圖書館獲得不少有關(guān)2PSK、2DPSK數(shù)字信號(hào)頻帶傳輸系統(tǒng)的資料和書籍,加上自己之前對通信系統(tǒng)原理的學(xué)習(xí),設(shè)計(jì)思路漸漸明朗,經(jīng)過自己的不斷嘗試和探索,弄懂了2PSK和2DPSK調(diào)制器與解調(diào)器設(shè)計(jì)的工作原理;通過查閱相關(guān)資料和教程,我也明白了2PSK和2DPSK調(diào)制器與解調(diào)器設(shè)計(jì)相關(guān)的一些函數(shù)的使用方法,并用它們來設(shè)計(jì)2PSK和2DPSK調(diào)制器與解調(diào)器設(shè)計(jì),最終完成了題目。通過這次課程設(shè)計(jì),我學(xué)到了很多有用的知識(shí),并加強(qiáng)了自己掌握和理解書本知識(shí)的能力,培養(yǎng)了自己的實(shí)際動(dòng)手能力與綜合設(shè)計(jì)能力,提高了自己的技術(shù)素質(zhì)。這對以后的學(xué)習(xí)和工作都是非常有益的。基本達(dá)到了數(shù)字通信系統(tǒng)課程設(shè)計(jì)的任務(wù),明確了

28、數(shù)字通信系統(tǒng)的基本知識(shí)與應(yīng)用;在對二進(jìn)制相位鍵控(2PSK和2DPSK)調(diào)制器與解調(diào)器的理論分析的過程中,我對通信原理的相關(guān)知識(shí)進(jìn)行了復(fù)習(xí),更深一個(gè)層次的掌握了通信原理的相關(guān)概念和使用技巧;同時(shí)掌握了數(shù)字通信系統(tǒng)仿真設(shè)計(jì)的基本方法,學(xué)會(huì)了運(yùn)用仿真軟件,繪制相應(yīng)的仿真波形圖,對計(jì)算出的參數(shù)進(jìn)行驗(yàn)證,反復(fù)推導(dǎo),使參數(shù)更加符合要求??傊?,通過這次課程設(shè)計(jì)我有了很多收獲。在摸索該如何使用Quartus II去實(shí)現(xiàn)題目要求的過程中培養(yǎng)了我的設(shè)計(jì)思維。在編程的過程中,同學(xué)們共同探討,并從中體會(huì)到了Quartus II的強(qiáng)大功能,以后我還得深入學(xué)習(xí)Quartus II,并在以后的專業(yè)學(xué)習(xí)中去很好地應(yīng)用它;更

29、讓我體會(huì)到成功的喜悅和快樂。5.參考文獻(xiàn)1王虹等.通信系統(tǒng)原理.北京:國防工業(yè)出版社,2013,122田維新等.基于數(shù)字通信系統(tǒng)的PSK解調(diào)器的設(shè)計(jì)與實(shí)現(xiàn)J.微計(jì)算機(jī)信息,2010,6-5:43-473 樊昌信.通信原理第七版. 北京:國防工業(yè)出版社,20124 潘松.EDA技術(shù)實(shí)用教程第五版.北京:科學(xué)出版社,20145 江國強(qiáng).EDA技術(shù)與應(yīng)用. 北京:電子工業(yè)出版社,20106 John G. Proakis.Digital Communications. 北京:電子工業(yè)出版社,2011

30、 附錄一:2PSK調(diào)制器的程序代碼library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PSK1 isport(clk :in std_logic; -系統(tǒng)時(shí)鐘 start :in std_logic; -開始調(diào)制信號(hào) x :in std_logic; -基帶信號(hào) y :out std_logic; f1 :out std_logic; f2 :out std_logic ); -已調(diào)制輸出信號(hào)end PSK1;archi

31、tecture behav of PSK1 issignal q:std_logic_vector(1 downto 0); -2位計(jì)數(shù)器signal f11,f22:std_logic; -載波信號(hào)beginprocess(clk) -此進(jìn)程主要是產(chǎn)生兩重載波信號(hào)f1,f2beginif clk'event and clk='1' then if start='0' then q<="00" elsif q<="01" then f11<='1'f22<='0

32、9;q<=q+1; elsif q="11" then f11<='0'f22<='1'q<="00" else f11<='0'f22<='1'q<=q+1; end if;end if;end process;process(clk,x) -此進(jìn)程完成對基帶信號(hào)x的調(diào)制 beginif clk'event and clk='1' then if q(0)='1' then if x='1'

33、then y<=f11; -基帶信號(hào)x為1時(shí),輸出信號(hào)y為f1 else y<=f22; -基帶信號(hào)x為0時(shí),輸出信號(hào)y為f2 end if; end if;end if;end process; f1<=f11; f2<=f22;end behav;附錄二:2PSK解調(diào)器的程序代碼library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PSK2 isport(clk :in std_logic; -系統(tǒng)時(shí)鐘

34、start :in std_logic; -同步信號(hào) x :in std_logic; -調(diào)制信號(hào) y :out std_logic; q1 :out integer range 0 to 3); -基帶信號(hào)end PSK2;architecture behav of PSK2 issignal q:integer range 0 to 3; beginprocess(clk) -此進(jìn)程完成對CPSK調(diào)制信號(hào)的解調(diào)beginif clk'event and clk='1' then if start='0' then q<=0; elsif q=0

35、 then q<=q+1; -在q=0時(shí),根據(jù)輸入信號(hào)x的電平來進(jìn)行判決 if x='1' then y<='1' else y<='0' end if; elsif q=3 then q<=0; else q<=q+1; end if;end if;end process; q1<=q;end behav;附錄三:2DPSK調(diào)制器絕對碼轉(zhuǎn)換為相對碼的程序代碼library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity DPSK1 isport(clk :in std_logic; -系統(tǒng)時(shí)鐘 start :in std_logic; -開始轉(zhuǎn)換信號(hào) x :in std_logic; -絕對碼輸入信號(hào) y :out std_logic;

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論