現(xiàn)代儀器課設(shè)_第1頁
現(xiàn)代儀器課設(shè)_第2頁
現(xiàn)代儀器課設(shè)_第3頁
現(xiàn)代儀器課設(shè)_第4頁
現(xiàn)代儀器課設(shè)_第5頁
已閱讀5頁,還剩23頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、武漢理工大學(xué)現(xiàn)代儀器設(shè)計課程設(shè)計說明書目錄1.緒論32.設(shè)計任務(wù)及要求32.1設(shè)計目的32.2設(shè)計要求33.總體設(shè)計方案及程序框圖34.硬件電路的實現(xiàn)44.1.基于STC89C52RC的最小控制系統(tǒng)44.2. A/D轉(zhuǎn)換模塊64.3.可編程增益放大模塊84.4.串口通信模塊95 .內(nèi)部軟件實現(xiàn)115.1. A/D轉(zhuǎn)換模塊115.2. 程控(PGA)放大模塊115.3. 串口接收模塊126.Labview的上位機(jī)模塊156.1.上位機(jī)流程圖156.2.VISA的串口設(shè)置166.3.VISA串口讀取數(shù)據(jù)176.4.數(shù)據(jù)處理176.5.存入文件187.結(jié)果仿真與誤差分析187.1.結(jié)果仿真187.2

2、.結(jié)果誤差分析197.3.誤差的修正208.總結(jié)209.參考文獻(xiàn)211.緒論隨著信息技術(shù),特別是計算機(jī)技術(shù)的發(fā)展,傳統(tǒng)儀器開始不斷向智能儀器方向發(fā)展。虛擬儀器是20世紀(jì)80年代提出的新概念,是現(xiàn)代計算機(jī)技術(shù)和儀器技術(shù),以及其他新技術(shù)深層次結(jié)合的產(chǎn)物,是計算機(jī)資源、儀器與測控系統(tǒng)硬件資源和軟件資源的有效結(jié)合。電壓是電路中常見的電信號,實際工程生活中,常常需要通過對電壓進(jìn)行測量以實現(xiàn)其他工程參數(shù)的獲取與運(yùn)用。因此,電壓測量是其他許多電參數(shù)和非電參數(shù)量測量與運(yùn)用的基礎(chǔ)。最常見的測量電壓的方式就是利用電壓表來實現(xiàn),但現(xiàn)在常用的電壓表,常常會存在精度不高,測量速度慢、測量步驟復(fù)雜等缺陷。本次設(shè)計旨在設(shè)計

3、出一款能夠?qū)崿F(xiàn)高速度、高精度測量且步驟簡化,界面美觀的數(shù)字電壓表,以彌補(bǔ)市場上常見電壓表所存在的缺陷。2.設(shè)計任務(wù)及要求2.1設(shè)計目的本課程設(shè)計的目的主要是讓學(xué)生在理解理論知識的基礎(chǔ)上掌握虛擬儀器技術(shù)的組件的性能、屬性、創(chuàng)建虛擬儀器的方法理論基礎(chǔ)和虛擬儀器的圖形編程方法,掌握虛擬儀器系統(tǒng)軟件開發(fā)工具,綜合利用傳感器技術(shù)和虛擬儀器技術(shù)進(jìn)行虛擬儀器的綜合開發(fā),以及掌握虛擬儀器在測量儀器、過程控制、信號分析、網(wǎng)絡(luò)遠(yuǎn)程控制等應(yīng)用技能。2.2設(shè)計要求設(shè)計一個智能化的虛擬電壓采集、測量、監(jiān)控系統(tǒng),該系統(tǒng)以單片機(jī)和虛擬儀器技術(shù)為核心并具有如下功能:1.能對0-5V范圍變化的模擬信號進(jìn)行連續(xù)采樣,并在PC機(jī)中

4、進(jìn)行實時顯示,采樣頻率不低于10Hz;2.具有數(shù)據(jù)記錄功能,能夠?qū)⒉杉降臄?shù)據(jù)以文件形式保存在PC機(jī)中;3.能對系統(tǒng)存在的隨機(jī)誤差和系統(tǒng)誤差進(jìn)行校正;4.系統(tǒng)具有自動量程選擇功能,量程至少4檔可調(diào);5.具有自動電壓監(jiān)控功能,當(dāng)采樣值大于4V時,點亮報警指示燈。3.總體設(shè)計方案及程序框圖本設(shè)計利用單片機(jī)電路作為下位機(jī)前端數(shù)據(jù)采集電路,采用16位AD轉(zhuǎn)換芯片ADS7825采集電壓信號,并經(jīng)過程控放大器PGA204放大后把轉(zhuǎn)換輸出的數(shù)字信號通過串口通訊送給上位機(jī),同時上位機(jī)在 Labview開發(fā)平臺下,通過串口讀取下位機(jī)上傳的數(shù)據(jù),并經(jīng)過數(shù)據(jù)處理來顯示電壓值和電壓波形等數(shù)據(jù)信息??傮w設(shè)計方框圖如下所

5、示:圖一方案總體設(shè)計框圖4.硬件電路的實現(xiàn)4.1.基于STC89C52RC的最小控制系統(tǒng)控制系統(tǒng)是整個測量系統(tǒng)的核心,我們采用了市面上比較常見而且我們也比較熟悉的單片機(jī)來作為本次設(shè)計的控制模塊,芯片型號是STC89C52RC 。STC89C52RC單片機(jī)是宏晶科技推出的新一代高速/低功耗/超強(qiáng)抗干擾的單片機(jī),指令代碼完全兼容傳統(tǒng)8051單片機(jī),12時鐘/機(jī)器周期和6時鐘/機(jī)器周期可以任意選擇。本次設(shè)計中我們主要通過它以及復(fù)位和上電電路構(gòu)成最小單片機(jī)系統(tǒng),其引腳圖如下:圖2 STC89C52RC單片機(jī)引腳圖為使其正常工作,在STC89C52RC接上復(fù)位電路和晶振電路構(gòu)成最小單片機(jī)系統(tǒng)。即在芯芯片

6、的9腳接入復(fù)位電路,18、19腳接入晶振,10、11腳與串口通信模塊通信,通過P0口讀AD傳輸?shù)臄?shù)據(jù),P1口控制AD轉(zhuǎn)換模塊和可編程增益放大模塊。我們這次所用到的單片機(jī)引腳及其功能分別是:XTAL1(19腳):振蕩器反相放大器和內(nèi)部時鐘發(fā)生電路的輸入端。 XTAL2(18腳):振蕩器反相放大器的輸入端。RXD(10腳):串行輸入口。TXD(11腳):串行輸出口。RST(9腳):復(fù)位輸入。當(dāng)輸入連續(xù)兩個機(jī)器周期以上高電平時為有效,用來完成單片機(jī)單片機(jī)的復(fù)位初始化操作??撮T狗計時完成后,RST引腳輸出96個晶振周期的高電平。特殊寄存器AUXR(地址8EH)上的DISRTO位可以使此功能無

7、效。DISRTO默認(rèn)狀態(tài)下,復(fù)位高電平有效。P0端口(P0.0P0.7,3932引腳):P0口是一個漏極開路的8位雙向I/O口。作為輸出端口,每個引腳能驅(qū)動8個TTL負(fù)載,對端口P0寫入“1”時,可以作為高阻抗輸入。在訪問外部程序和數(shù)據(jù)存儲器時,P0口也可以提供低8位地址和8位數(shù)據(jù)的復(fù)用總線。此時,P0口內(nèi)部上拉電阻有效。在Flash ROM編程時,P0端口接收指令字節(jié);而在校驗程序時,則輸出指令字節(jié)。驗證時,要求外接上拉電阻。本次設(shè)計中我們將P0口作為電壓信號的讀取端口,用來輸出所測得的電壓值。本部分具體的設(shè)計電路如下:圖三 STC89C52RC及外圍電路連接圖4.2. A/D轉(zhuǎn)換

8、模塊由于所設(shè)計的系統(tǒng)在最大測量量程時,分辨率要達(dá)到1mV以內(nèi),在最小測量量程時測量分辨率要達(dá)到1uV以內(nèi)。因此,至少需要13位的AD轉(zhuǎn)換器。再綜合考慮其他因素,我們選擇了ADS7825這款芯片。ADS7825是美國TI公司生產(chǎn)的4通道,16位模數(shù)轉(zhuǎn)換器。它由單一5V電源供電,數(shù)據(jù)采樣及轉(zhuǎn)換時間不超過25s,可輸入-10.010.0V的模擬電壓。AD轉(zhuǎn)換后的數(shù)據(jù)既可并行輸出,也可串行輸出,數(shù)據(jù)轉(zhuǎn)換模式還可設(shè)置在4通道間連續(xù)循環(huán)轉(zhuǎn)換,使用極其方便。其引腳圖下:圖四 ADS7825引腳圖我們只使用一個通道即通道0作為輸入通道來接收PGA204傳輸過來的數(shù)據(jù),所以在電路中直接把通道1、2、3接地,20

9、腳接高電平。轉(zhuǎn)換后的電壓會輸出到單片機(jī)的P0進(jìn)行口處理。ADS7825采樣轉(zhuǎn)換完畢后的數(shù)據(jù)既可作并行輸出,也可作串行輸出,相對于串行輸出而言,并行電路更加簡單易行,所以我們采用并行輸出,即將PAR/SER引腳置高電平。同時將CS腳置低電平,即始終選中ADS7825芯片,給R/C腳觸發(fā)一下降沿信號,即可啟動AD轉(zhuǎn)換,但每次啟動AD轉(zhuǎn)換時,R/C引腳保持低電平的時間一般為40ns,最大不能超過12s,否則將會影響轉(zhuǎn)換的準(zhǔn)確性。在進(jìn)行數(shù)據(jù)讀取時,需要不斷檢測BUSY的輸出,只有BUSY為高電平時,方可讀取數(shù)據(jù)。在本次設(shè)計中我們所用到的引腳及其功能如下:AIN0AIN3(2-5腳):4個模擬通道,可接

10、受-10.010.0V的模擬輸入電壓,本次設(shè)計使用通道0。D0D7:A/D模數(shù)轉(zhuǎn)換后的輸出端口。PAR/SER(20腳):該管腳為高電平時,數(shù)據(jù)在D0D7腳并行輸出;為低電平時,數(shù)據(jù)在SDATA腳串行輸出,本次設(shè)計中所采用的是并行輸出。BYTE(21腳):并行數(shù)據(jù)輸出選擇位,僅在數(shù)據(jù)作并行輸出時使用,BYTE=1時,D0D7輸出低8位 ,BYTE=0時,D0D7輸出高8位。R/C(22腳):讀數(shù)/啟動轉(zhuǎn)換,該管腳被一下降沿觸發(fā)將保持前次的采樣并啟動下一次模數(shù)轉(zhuǎn)換;上升沿觸發(fā),則允許讀數(shù)BUSY(24腳):狀態(tài)標(biāo)志位,只讀管腳。在A/D轉(zhuǎn)換過程中,該管腳輸出始終保持低電平。轉(zhuǎn)換結(jié)束,數(shù)據(jù)鎖存到輸

11、出寄存器后,該管腳輸出高電平。當(dāng)數(shù)據(jù)作并行輸出時,必須使BUSY=1,才可讀數(shù)。CONTC(25腳):選擇轉(zhuǎn)換模式。CONTC=0時,必須用CS及R/C來逐次啟動A/D轉(zhuǎn)換;CONTC=1時,采樣和讀取數(shù)據(jù)在4個通道之間自動循環(huán)進(jìn)行。本部分具體的設(shè)計電路如下:圖五 A/D模塊電路圖4.3.可編程增益放大模塊由于在本次課設(shè)中,當(dāng)系統(tǒng)以最大量程測量時,測量分辨率要求達(dá)到1mV以內(nèi),以最小量程測量時分辨率要求達(dá)到1uV以內(nèi),并且量程至少4檔可調(diào),綜合考慮,我們選擇了PGA204這款芯片。PGA204是美國TI公司生產(chǎn)的芯片,其價格低廉、使用用途廣, 可用兩位TTL 或CMOS 邏輯信號通過引腳A1、

12、A0對其增益進(jìn)行選擇。其引腳圖如下:圖六 PGA204引腳圖該P(yáng)GA要求差分電源輸入,輸入端口為8腳和13腳,為此,我們使用了一個提供差分電源的模塊A0512S,其連接圖如下所示:圖七 A0512S電路連接圖該模塊1腳接電源,2腳接地,3、5腳輸出相對于4腳的差分電源,因此當(dāng)我們將4腳接地就可以得到一個相對于地的差分電源。PGA的具體電路圖如下所示:圖八 程控放大器連接圖PGA204的輸入也要求差分,4腳輸入負(fù)電壓,5腳輸入正電壓,而本次我們只需測量0-5v電壓,所以將4腳接地,5腳作為輸入端。設(shè)計中所用到的具體引腳及其功能如下:V-in、V+in(4、5腳):電壓輸入口。V-、V+(8、13

13、腳):差分電源輸入口。Ref(10腳):參考電壓,通常接地。Vo(11腳):放大后的電壓輸出端口。Feedback(12腳):反饋口,通常和11腳接一起。A1、A0(16、15腳):放大倍數(shù)調(diào)節(jié)口,當(dāng)A1、A0為00時,放大1倍;為01時,放大10倍;為10時放大100倍,為11時放大1000倍。4.4.串口通信模塊由于要將單片機(jī)所接收的處理后的數(shù)據(jù)傳給上位機(jī),所以需要一個通信模塊,為此我們采用了比較簡單易行的RS232串口通信。由于單片機(jī)RXD 和TXD 引腳輸出TTL 電平與RS232 串口發(fā)送的電平不一致,所以電路中需要一個電平轉(zhuǎn)換器,這里選擇了MAX232 芯片。MAX232芯片是美信

14、公司專門為電腦的RS-232標(biāo)準(zhǔn)串口設(shè)計的單電源電平轉(zhuǎn)換芯片,使用+5v單電源供電。其作用是將單片機(jī)輸出的TTL電平轉(zhuǎn)換成PC機(jī)能夠接收的RS-232電平或?qū)C機(jī)輸出的RS-232電平轉(zhuǎn)換成單片機(jī)能接收的TTL電平。其引腳圖如下:圖九MAX232引腳圖MAX232由7、8、9、10、11、12、13以及14引腳構(gòu)成兩個數(shù)據(jù)通道。其中13腳(R1IN)、12腳(R1OUT)、11腳(T1IN)、14腳(T1OUT)為第一數(shù)據(jù)通道。8腳(R2IN)、9腳(R2OUT)、10腳(T2IN)、7腳(T2OUT)為第二數(shù)據(jù)通道。TTL/CMOS數(shù)據(jù)從11引腳(T1IN)、10引腳(T2IN)輸入轉(zhuǎn)換成

15、RS-232數(shù)據(jù)從14腳(T1OUT)、7腳(T2OUT)輸出傳送到電腦的DB9接口;DB9接口的RS-232電平數(shù)據(jù)從13引腳(R1IN)、8引腳(R2IN)輸入后轉(zhuǎn)換成TTL/CMOS電平的數(shù)據(jù)從12引腳(R1OUT)、9引腳(R2OUT)輸出。DB9的2腳(RXD)用于接收外部設(shè)備送來的數(shù)據(jù),3腳(TXD)將計算機(jī)的數(shù)據(jù)發(fā)送給外部設(shè)備。所以我們將MAX232的數(shù)據(jù)輸入和輸出通道分別連接在DB9和單片機(jī)上從而實現(xiàn)他們之間的通信。本部分具體的設(shè)計電路如下:圖十 串口通信模塊電路圖5 .內(nèi)部軟件實現(xiàn)5.1. A/D轉(zhuǎn)換模塊由于在本次設(shè)計中我們所選用的A/D為TI公司的ADS7825芯片,它是1

16、6位的A/D,除去一位符號位,可以對數(shù)據(jù)實現(xiàn)15位的高速轉(zhuǎn)換,且其量程-10V10v,而我們只用到05v,故實際只用到14位,因此其滿量程所對應(yīng)的二進(jìn)制為。在轉(zhuǎn)換過程中:當(dāng)給RC下降沿信號時啟動轉(zhuǎn)換,給RC上升沿時打開讀信號的讀使能端,在RC給下降沿信號好后,如果轉(zhuǎn)換完成,即芯片的24引腳為高電平時可以開始讀取數(shù)據(jù),在讀取數(shù)據(jù)的過程中,當(dāng)21引腳為高電平和低電平時分別實現(xiàn)對信號高八位和低八位的讀取。因此,其程序?qū)崿F(xiàn)如下;uint ad_qz() /A/D轉(zhuǎn)換函數(shù) uchar nData_H,nData_L;uint nData;RC=1; /給下降沿信號,啟動轉(zhuǎn)換delay(5);RC=0;w

17、hile(!busy); /等待A/D轉(zhuǎn)換完成RC=1;/給上升沿信號,打開A/D讀使能端byte=0;/讀高八位nData_H=P0;byte=1;/讀低八位nData_L=P0;nData=(nData_H<<8)+nData_L) & 0x7fff;/移位并去掉符號位return nData;5.2. 程控(PGA)放大模塊由于本次設(shè)計中所選用的程控放大器為TI公司的PGA204。當(dāng)芯片的16、15引腳的A1、A0分別為00、01、10、11時,其分別獲得1、10、100、1000的增益。故在軟件部分需要不斷對A/D傳輸過來的的數(shù)據(jù)進(jìn)行判斷,從而對PGA的檔位進(jìn)行調(diào)整

18、。具體的實現(xiàn)程序如下:void bj_qz() /量程自動選擇函數(shù)uint CL;CL=ad_qz();if(CL>=1638) /5v量程A1=0;A0=0;flag=0;if(CL<1638&&CL>=164)/500mv量程 A1=0;A0=1;Flag=1;if(CL<164&&CL>=16) /50mv量程A1=1;A0=0;flag=2;if(CL<16)/5mv量程A1=1;A0=1;Flag=3;5.3. 串口接收模塊由于本次設(shè)計中我們采用不斷向PC機(jī)發(fā)送數(shù)據(jù)的方式來實現(xiàn)對所測量電壓的傳送和保存,并最終在LAB

19、VIEW上顯示。因此,需要用到串口接收,其軟件實現(xiàn)主要包括兩個部分,即串口初始化程序和串口接收數(shù)據(jù)程序,具體實現(xiàn)如下:(1)串口初始化函數(shù)void init_qz() /初始函數(shù)TMOD=0X20; /選擇定時器1的工作方式2TH1=0XFD; /設(shè)置初值,波特率為9600TL1=0XFD;TR1=1; /打開定時器1REN=1; /打開串口接收數(shù)據(jù)使能端SM0=0;SM1=1;(2)串口數(shù)據(jù)讀取函數(shù)void ck_qz() /串口數(shù)據(jù)讀取函數(shù)uchar ah,al;uchar dw=4,bz=10;bj_qz();if(flag=0) /選擇了5v量程ah=CL/256; /分離高八位al=

20、CL%256;/分離低八位dw=4;/檔位為第四檔SBUF=dw;/發(fā)送檔位while(!TI);TI=0;SBUF=ah;/發(fā)送高八位while(!TI);/發(fā)送低八位TI=0;SBUF=al;/發(fā)送低八位while(!TI);TI=0;SBUF=bz;/發(fā)送標(biāo)志位while(!TI);TI=0;if(flag=1)/選擇了500mv量程 CL=ad_qz();ah=CL/256;al=CL%256;dw=3; SBUF=dw;while(!TI);TI=0;ah=ah/10;SBUF=ah;while(!TI);TI=0;al=al/10;SBUF=al;while(!TI);TI=0;

21、SBUF=bz;while(!TI);TI=0;if(flag=2) /選擇了50mv量程CL=ad_qz();ah=CL/256;al=CL%256; dw=2; SBUF=dw;while(!TI);TI=0;ah=ah/100;SBUF=ah;while(!TI);TI=0;al=al/100 ;SBUF=al;while(!TI);TI=0;SBUF=bz;while(!TI);TI=0;if(flag=3)/選擇了5mv量程CL=ad_qz();ah=CL/256;al=CL%256;dw=1; SBUF=dw;while(!TI);TI=0;ah=ah/1000;SBUF=ah;w

22、hile(!TI);TI=0; al=al/1000;SBUF=al;while(!TI);TI=0;SBUF=bz;while(!TI);TI=0;6.Labview的上位機(jī)模塊6.1.上位機(jī)流程圖圖十一上位機(jī)流程圖6.2.VISA的串口設(shè)置圖十二VISA串口設(shè)置圖本次課程設(shè)計我們使用DB9轉(zhuǎn)USB串口。VISA資源名稱需根據(jù)PC生成串口的資源來決定,故該控制引腳選擇使用輸入串口資源輸入控件;為了判斷數(shù)據(jù)接收完成,下位機(jī)將向PC發(fā)送一個停止位,本系統(tǒng)中將停止位設(shè)置為10;啟用停止符設(shè)置為FALSE;其他控制位設(shè)置為默認(rèn)。 圖十三 VISA 串口配置圖6.3.VISA串口讀取數(shù)據(jù)圖十四VISA

23、串口數(shù)據(jù)讀取設(shè)置圖本系統(tǒng)中,PC需要讀取4字節(jié)數(shù)據(jù)完成對電壓的完整性的描述。它們分別是:當(dāng)前檔位、AD高8位、AD低8位、終止位。因此配置字節(jié)總數(shù)為4字節(jié)。后續(xù)通過字符串轉(zhuǎn)字節(jié)數(shù)組控件和索引數(shù)組完成四組數(shù)據(jù)的讀取,并為后續(xù)數(shù)據(jù)處理做好準(zhǔn)備。6.4.數(shù)據(jù)處理 下位機(jī)在第一字節(jié)和第四字節(jié)將檔位和停止位信息發(fā)送至PC,使用數(shù)值顯示控件顯示當(dāng)前檔位;停止位作為數(shù)據(jù)停止標(biāo)志配合條件結(jié)構(gòu)完成檢測是否數(shù)據(jù)接收完成的功能。數(shù)字電壓測量系統(tǒng)采用16位AD(實際使用14位),因此AD轉(zhuǎn)換得到的數(shù)(nDATA)與高八位(DATAH)、低八位(DATAL)的關(guān)系為:nDATA=DATAH*256+DATAL 實際電壓

24、為:V= nDATA*5/實際分辨率*檔位 圖十五數(shù)據(jù)處理圖6.5.存入文件 從LabVIEW控件面板文件I/O中選擇寫入測量文件控件,并設(shè)置保存路徑以及保存格式(.xlsx),并且設(shè)置保存數(shù)據(jù)使能,完成數(shù)據(jù)保存起止的功能。模擬電壓表在LABVIEW前面板上的布局如下圖:圖十六上位機(jī)前面板7.結(jié)果仿真與誤差分析7.1.結(jié)果仿真通過不斷改變滑動變阻器的阻值給電路輸入不同的電壓,輸入值經(jīng)A/D采集,PGA放大,A/D再次采集,串口接收后傳送到LABVIEW中可以測得外界輸入的實際電壓值以及PGA的檔位 。設(shè)計實物及部分仿真結(jié)果如下:圖十七實物展示圖十八 結(jié)果仿真圖7.2.結(jié)果誤差分析在仿真的過程中

25、可以發(fā)現(xiàn),模擬電壓表所顯示的電壓值與外界輸入的電壓并不能完全吻合,偶爾測量的電壓還有一定的跳動,即系統(tǒng)存在一定的誤差。綜合考慮,造成誤差的主要因素有以下幾點:1、 設(shè)計誤差,在進(jìn)行系統(tǒng)設(shè)計時,考慮到經(jīng)濟(jì)以及轉(zhuǎn)換速率問題,所選的芯片本身就有一定的轉(zhuǎn)換誤差;2、 測量誤差,在進(jìn)行模擬測量時,會人為手動地調(diào)節(jié)滑動變阻器來控制輸入電壓值,本身就會引入一定的誤差;3、 儀器誤差,由于與測量值相比較是是使用電壓表來進(jìn)行測量所獲取的實際值,其本身就具有不準(zhǔn)確性;4、 環(huán)境誤差,在測量時外界環(huán)境因素的變化會引起電壓在傳輸過程中受到損耗,造成一定的誤差;7.3.誤差的修正由影響誤差的因素可知,部分誤差可以通過人為

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論