第05章內(nèi)存-new_第1頁
第05章內(nèi)存-new_第2頁
第05章內(nèi)存-new_第3頁
第05章內(nèi)存-new_第4頁
第05章內(nèi)存-new_第5頁
已閱讀5頁,還剩13頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、第5章 內(nèi) 存5.1 概述存儲器分為內(nèi)存儲器與外存儲器。內(nèi)存儲器又稱為內(nèi)存,安裝在計算機(jī)內(nèi)部,通常是安裝在主板上。現(xiàn)代的PC里主要有四種類型的內(nèi)部存儲器芯片:· ROM。只讀存儲器。即只能有條件的寫入,但可隨機(jī)讀取,斷電后存儲在其中的數(shù)據(jù)不會丟失。它的作用是,保存不能丟失的、計算機(jī)運行時必須使用的程序和數(shù)據(jù),如主板BIOS及適配卡的BIOS等。· FLASH。閃存。特性與ROM比較相似,但支持隨機(jī)寫入,在一些地方已經(jīng)逐步替代ROM。關(guān)于FLASH的詳細(xì)介紹請參閱第七章外存儲器。· DRAM。動態(tài)隨機(jī)訪問存儲器。即可隨機(jī)的寫入也可隨機(jī)的讀取,斷電后存儲在其中的數(shù)據(jù)即

2、刻丟失。這種內(nèi)存儲器的另一重要特點是,需要每隔一定的時間,將存儲在其中的數(shù)據(jù)重新寫入一次,即刷新。DRAM的作用是,供暫時存儲處理器需要處理的數(shù)據(jù)或處理后的結(jié)果。· SRAM。靜態(tài)隨機(jī)訪問存儲器。它與DRAM特性類似,只是不需要定時刷新。由于SRAM的價格比DRAM昂貴,但比DRAM速度快,因此它往往作為緩存使用。我們常說的內(nèi)存在狹義上是指系統(tǒng)主存,通常使用DRAM芯片。它是計算機(jī)處理器的工作空間,是處理器運行的程序和數(shù)據(jù)必須駐留于其中的一個臨時存儲區(qū)域。內(nèi)存存儲是暫時的,因為數(shù)據(jù)和程序只有在計算機(jī)通電或沒有被重啟動時才保留在這里。在關(guān)機(jī)或重啟動之前,所有修改過的數(shù)據(jù)應(yīng)該保存到某種永

3、久性的存儲設(shè)備上(如硬盤),以便將來它可以重新加載到內(nèi)存里。內(nèi)存可以被稱為RAM(Random Access Memory,隨機(jī)存取存儲器),這是因為用戶可以隨機(jī)地(并且迅速地)讀取內(nèi)存中的任何位置上的數(shù)據(jù),并能將數(shù)據(jù)寫入到希望的位置上。但這個名稱有些誤導(dǎo),經(jīng)常被錯誤地理解。例如,只讀存儲器(ROM)也是可隨機(jī)訪問的,但它與系統(tǒng)的 RAM不同,因為存在其中的數(shù)據(jù)不會因為斷電而丟失,也不會被隨意地向其中寫入數(shù)據(jù);磁盤存儲器也是隨機(jī)可訪問的,但我們也不把它看作RAM。這是因為,磁盤存儲器雖然可以隨時讀取和寫入,但在斷電時磁盤存儲器仍可靠其磁性將數(shù)據(jù)保存住而不丟失。內(nèi)存的容量常以字節(jié)、千字節(jié)和兆字節(jié)

4、來表示。實際上磁盤存儲器的容量也是用這些術(shù)語來表示。內(nèi)存和磁盤存儲器之間的區(qū)別,可以用放著桌子和文件柜的一個小辦公室來比喻。在這個通俗的比喻里,文件柜代表系統(tǒng)的磁盤存儲器(如硬盤),程序和數(shù)據(jù)存儲在這里以便長期保存。桌子代表系統(tǒng)的內(nèi)存,它允許在桌邊工作的人(處理器)直接訪問桌上的任何文件。文件代表可以加載到內(nèi)存里的程序和文檔。要操作一個特定的文件,首先必須從柜子里取出它并放到桌子上。如果桌子足夠大,可以一次在上面打開多個文件。同樣,如果系統(tǒng)有更多的內(nèi)存,就可以運行更多更大的程序,操作更多更大的文檔。在系統(tǒng)里添加硬盤空間就像將一個更大的文件柜擺到辦公室里,可以永久存儲更多的文件。將更多的內(nèi)存加到

5、系統(tǒng)里就像換一張更大的桌子,用戶可以同時工作于更多的程序和數(shù)據(jù)。這個比喻和計算機(jī)里實際工作方式的不同在于當(dāng)一個文件加載到內(nèi)存時,它是實際被加載文件的一個副本,原始的文件仍駐留在硬盤上。注意,由于內(nèi)存的臨時性特征,在加載到內(nèi)存之后發(fā)生變化的所有文件必須在系統(tǒng)關(guān)閉前存回硬盤。如果改變了的文件沒有被保存,則硬盤上文件的原始副本仍然是未改動的。這就像是對桌面上的文件所作的任何修改當(dāng)辦公室關(guān)門時都被丟棄,盡管原來文件本身仍在文件柜里。物理上講,內(nèi)存是由PCB、SPD芯片、貼片電容、金手指和一組內(nèi)存芯片所組成的模塊,它被安裝在主板的相應(yīng)內(nèi)存插槽上。(參見圖5-1)。圖5-1 內(nèi)存的組成內(nèi)存芯片或模塊的電子

6、和物理設(shè)計都不同,必須與裝載它們的系統(tǒng)兼容才能正確地工作。在本章里,會討論在不同系統(tǒng)里安裝的各種芯片和模塊。 內(nèi)存的類型.1 FPM DRAM標(biāo)準(zhǔn)的DRAM是通過分頁(paging)技術(shù)進(jìn)行訪問的。正常的內(nèi)存訪問需要選擇一個行地址和一個列地址,這會耗費時間。分頁通過保持行地址不變而只改變列地址,可以對給定行的所有內(nèi)存數(shù)據(jù)進(jìn)行更快的訪問。使用這種技術(shù)的內(nèi)存被稱為頁模式(Page Mode)或快速頁模式(Fast Page Mode, FPM)內(nèi)存。頁模式的其他變種包括為靜態(tài)列(Static Column)或半字節(jié)模式(Nibble Mode)內(nèi)存。內(nèi)存分頁是一種改善內(nèi)存性能的簡單機(jī)制。為了進(jìn)一步

7、提高內(nèi)存訪問速度,系統(tǒng)已發(fā)展成允許對DRAM進(jìn)行更快的訪問。一個重要的變化是在486和以后的處理器里實現(xiàn)了突發(fā)模式訪問。突發(fā)模式是利用大多數(shù)內(nèi)存訪問的連續(xù)特性。對一個給定的訪問在建立行和列地址之后,使用突發(fā)模式,就可以訪問后面3個相鄰的地址,而不需額外的延遲或等待狀態(tài)。一個突發(fā)訪問通常限制為4次正常訪問。為了描述這個過程,我們經(jīng)常以每次訪問的周期數(shù)表示計時。一個標(biāo)準(zhǔn)DRAM的典型突發(fā)模式訪問表示為x-y-y-y,x是第一次訪問的時間(延遲加上周期時間),y表示后面每個連續(xù)訪問所需的周期數(shù)。如,60ns DRAM通常運行5-3-3-3突發(fā)模式定時。這表示第一次訪問總共需要5個周期在66MHz系統(tǒng)

8、總線上,這大約是75ns或5×15ns周期,后續(xù)的周期則每個都需要3個周期(3×15ns=45ns)??梢钥吹?,實際的系統(tǒng)定時小于內(nèi)存從技術(shù)上講的標(biāo)稱值。若沒有突發(fā)技術(shù),內(nèi)存訪問則為5-5-5-5,因為每個內(nèi)存?zhèn)鬏敹夹枰暾难舆t。支持分頁和這種突發(fā)技術(shù)的DRAM存儲器叫做快速頁模式(Fast Page Mode, FPM)內(nèi)存。這個術(shù)語來自對同一頁上的數(shù)據(jù)可以用更少的延遲進(jìn)行內(nèi)存訪問的能力。1995年及之前的大多數(shù)486和Pentium系統(tǒng)都使用FPM內(nèi)存。.2 EDO DRAM1995年,Pentium系統(tǒng)里出現(xiàn)了一種叫做EDO(Extended Data Out 擴(kuò)展

9、數(shù)據(jù)輸出)的新型DRAM。它是FPM內(nèi)存的改進(jìn)形式,也被稱為超頁模式(Hyper Page Mode)。EDO由Micron Technology研制并獲得專利。EDO內(nèi)存包含專門制造的允許在連續(xù)訪問之間進(jìn)行時間重疊的芯片,允許下一個訪問周期與前一個周期重疊,從而使每個周期大約節(jié)省10ns。為了實際使用EDO內(nèi)存,主板芯片組必須支持它。1995年推出的Intel 430FX之后的大多數(shù)主板芯片組都提供了對EDO的支持。EDO DRAM一般是72針的SIMM(Single Inline Memory Module,單內(nèi)聯(lián)內(nèi)存模塊)形式。內(nèi)存的工作電壓通常是5V。.3 SDRAMSDRAM,即同步

10、DRAM(Synchronous DRAM),消除了異步DRAM里的大部分延遲,信號已經(jīng)與主板時鐘頻率保持同步。SDRAM使用高速、定時的接口可以極高速度的突發(fā)傳輸信息。和EDO RAM一樣,芯片組必須支持這種內(nèi)存以使它在系統(tǒng)里可用。從1997年的430VX和 430TX開始,Intel后來的大多數(shù)芯片組都支持SDRAM。SDRAM的性能比FPM和EDO有了很大的提高。SDRAM有幾種規(guī)范,分別是:PC66、PC100和PC133。SDRAM以DIMM(Dual Inline Memory Module,雙內(nèi)聯(lián)內(nèi)存模塊)的形式安裝在主板上。內(nèi)存的工作電壓通常是3.3V。.4 DDR-SDRAM

11、雙倍數(shù)據(jù)速率(Double Data Rate, DDR)SDRAM內(nèi)存是對標(biāo)準(zhǔn)SDRAM的改進(jìn)設(shè)計,在這種內(nèi)存里數(shù)據(jù)傳輸速度可以提高一倍。DDR內(nèi)存并不將時鐘頻率加倍,而是通過在每個時鐘周期里傳輸2次來獲得加倍的性能,一次在周期的前沿(下降),另一次在周期的后沿(上升)。這樣可以有效地將傳輸率提高一倍。DDR-SDRAM使用184針的DIMM設(shè)計。內(nèi)存的工作電壓通常是2.5V。.5 DDR2-SDRAMDDR2與DDR相比,最大的區(qū)別是數(shù)位預(yù)取技術(shù)的不同,DDR采用的是2位預(yù)?。?bit Prefect),而DDR2采用的是4位預(yù)?。?bit Prefect)。即DDR2每次傳送數(shù)據(jù)達(dá)到4b

12、it,比DDR每次傳送2bit多一倍。這樣,雖然DDR2和DDR一樣,都采用了在時鐘的上升延和下降延同時進(jìn)行數(shù)據(jù)傳輸?shù)幕痉绞?,但在同樣?00MHz核心頻率下,DDR的內(nèi)存時鐘頻率也是100MHz,實際數(shù)據(jù)傳輸頻率是200MHz,而DDR2的內(nèi)存時鐘頻率達(dá)到了200MHz,實際數(shù)據(jù)傳輸頻率更是達(dá)到了400MHz。圖5-2對比了SDRAM、DDR-SDRAM和DDR2-SDRAM這三者的傳輸速度。圖5-2 SDRAM、DDR-SDRAM和DDR2-SDRAM傳輸速度的比較DDR2內(nèi)存的工作V降到了1.8V。功率消耗、芯片溫度和寫入延遲不定性都得到了下降。 DDR2內(nèi)存的另外一項重要改進(jìn)是在內(nèi)存

13、本身集成了信號終結(jié)器(ODT,On Die Termination)。在并行總線中,信號傳輸?shù)揭欢说谋M頭之后不會自動消失,而會沿著相反的方向反射回去,這樣就會與后面?zhèn)魉瓦^來的信號發(fā)生碰撞,導(dǎo)致傳輸數(shù)據(jù)出錯。一般情況下,工作頻率越高,信號反射的現(xiàn)象就越嚴(yán)重,終結(jié)器就是用來解決這個問題的,它可以有效的吸收末端信號,防止數(shù)據(jù)的反射。DDR2內(nèi)存直接將終結(jié)器整合在內(nèi)存芯片中,以內(nèi)部邏輯的形態(tài)存在。如果多條模塊一起工作,系統(tǒng)可以自動控制每一條模塊中ODT功能的開啟或關(guān)閉,這樣我們就不必?fù)?dān)憂信號會在第一條模塊中就被終結(jié)掉,而在后續(xù)模塊中無法生效的問題。DDR2通過引入“Posted CAS”功能來解決指令

14、沖突問題。所謂Posted CAS,指的是將CAS(讀/寫命令)提前幾個周期、直接插到RAS信號后面的一個時鐘周期。這樣CAS命令可以在隨后的幾個周期內(nèi)都能保持有效,但讀/寫操作并沒有因此提前,總的延遲時間也沒有改變。它的好處在于可以徹底避免信號沖突、提高內(nèi)存使用效率,但需要注意的是,這種功能的效果只有在讀寫極其頻繁的環(huán)境下才能得到體現(xiàn),若是普通應(yīng)用,Posted CAS反而會增加讀取延遲、令系統(tǒng)性能下降。用戶通過調(diào)整主板BIOS中的設(shè)置,來控制Posted CAS功能開啟或關(guān)閉。此外,DDR2還加入了OCD (Off-Chip Driver)技術(shù),在I/O Driver新增穩(wěn)壓線路,令充電、

15、放電動作的電壓值的誤差減至最少,以防止電壓不穩(wěn)定的時候引起資料丟失。DDR2-SDRAM使用新的240針的DIMM設(shè)計。.6 RDRAMRDRAM,即Rambus DRAM,是一種在1999年后期出現(xiàn)在高端PC系統(tǒng)里的一種內(nèi)存設(shè)計,但因為市場的接受程度不高,目前已經(jīng)不常見。使用FPM/EDO或SDRAM的傳統(tǒng)內(nèi)存系統(tǒng)稱為寬通道系統(tǒng)(wide channel system),它們的內(nèi)存總線和處理器的數(shù)據(jù)總線一樣寬,Pentium以及以后的型號為64位。DIMM是一種64位寬的設(shè)備,即可以一次傳輸給它64位數(shù)據(jù)(或8個字節(jié))。RDRAM卻是一種窄通道設(shè)備。它一次只傳輸16位(2個字節(jié))數(shù)據(jù)(加上兩

16、個可選的校驗位)。RDRAM內(nèi)存總線寬度雖然不寬,但速度卻很快。RIMM通常運行在800MHz或更高,即單通道總的帶寬為每秒800×2或1.6GB,與PC1600 DDR-SDRAM相同。而且,傳輸之間的延遲更小,因為它們都同步地運行于一個循環(huán)系統(tǒng)里,而且只有一個方向。要進(jìn)一步提高速度,同時使用2個或4個RDRAM通道是可能的,從而將內(nèi)存總線帶寬/s。Intel 840/850系列芯片組支持雙通道 RDRAM。RDRAM芯片安裝在RIMM里。RIMM的大小和物理形狀類似于當(dāng)前的DIMM,但它們是不能替換的。RDRAM內(nèi)存總線是一條經(jīng)過總線上的所有設(shè)備和模塊的連續(xù)線路,每個模塊在相對的

17、兩端有輸入和輸出引腳。因此,通道中未插內(nèi)存模塊的RIMM插槽必須插入一個連接模塊以保證路徑是完整的。 內(nèi)存芯片的封裝.1 DIP早期的內(nèi)存芯片采用DIP封裝,這種封裝的外形呈長方形,針腳從長邊引出,具有適合PCB穿孔安裝,布線和操作較為方便等特點。由于針腳數(shù)量少(一般為864針),且抗干擾能力極弱,加上體積比較“龐大”,所以DIP封裝如曇花一現(xiàn)。圖5-3展示了DIP封裝的內(nèi)存芯片。圖5-3 DIP封裝的內(nèi)存芯片。.2 SOJ從SOJ(Small Out-Line J-Lead Package 小尺寸J形引腳封裝)中伸出的引腳有點像DIP的引腳,但不同的是其引腳呈“J”形彎曲地排列在芯片底部四周

18、。SOJ封裝一般應(yīng)用在EDO DRAM。圖5-4展示了SOJ封裝的內(nèi)存芯片。圖5-4 SOJ封裝的內(nèi)存芯片。.3 TSOPTSOP封裝(Thin Small Outline Package 薄型小尺寸封裝)由于更適合高頻使用,以較強(qiáng)的可操作性和較高的可靠性征服了業(yè)界。TSOP的封裝厚度只有SOJ的三分之一。TSOP封裝的外形呈長方形,且封裝芯片的兩側(cè)有I/O引腳。在TSOP封裝方式中,芯片是通過芯片引腳焊在PCB板上的,焊點和PCB板的接觸面積較小,使得芯片向PCB板傳熱相對困難。圖5-5展示了TSOP封裝的內(nèi)存芯片。圖5-5 TSOP封裝的內(nèi)存芯片。 BGABGA(Ball Grid Arr

19、ay Package 球柵陣列封裝)與TSOP相比,具有更小的體積,更好的散熱性能和電性能。BGA封裝技術(shù)使每平方英寸的存儲量有了很大提升,采用BGA封裝技術(shù)的內(nèi)存產(chǎn)品在相同容量下,體積只有TSOP封裝的三分之一,厚度和重量都較以前的封裝技術(shù)有所減少;與傳統(tǒng)TSOP封裝方式相比,BGA封裝方式有更加快速和有效的散熱途徑;BGA封裝以圓形或柱狀焊點按陣列形式分布在封裝下面,BGA技術(shù)的優(yōu)點是引腳數(shù)雖然增加了,但引腳間距并沒有減小反而增加了,從而提高了組裝成品率;雖然它的功耗增加,但BGA能用可控塌陷芯片法焊接,從而可以改善它的電熱性能;寄生參數(shù)減小,信號傳輸延遲小,使用頻率大大提高;組裝可用共面

20、焊接,可靠性高。圖5-6展示了BGA封裝的內(nèi)存芯片。圖5-6 BGA封裝的內(nèi)存芯片。.5 Tiny-BGATiny-BGA(Tiny Ball Grid Array Package小型球柵陣列封裝)是由 Kingmax推出的封裝方式。由于Tiny-BGA封裝減少了芯片的面積,可以看成是超小型的BGA封裝。Tiny-BGA封裝比起傳統(tǒng)的封裝技術(shù)有三大進(jìn)步:更大的容量(在電路板上可以安放更多的內(nèi)存芯片);更好的電氣性能(因為芯片與底板連接的路徑更短,減小了電磁干擾的噪音,能適合更高的工作頻率);更好的散熱性能(內(nèi)存芯片是通過一個個錫球焊接在PCB板上,由于焊點和PCB板的接觸面積較大,所以內(nèi)存芯片

21、在運行中所產(chǎn)生的熱量可以很容易地傳導(dǎo)到PCB板上并散發(fā)出去)。圖5-7展示了Tiny-BGA封裝的內(nèi)存芯片。圖5-7 Tiny-BGA封裝的內(nèi)存芯片。.6 mBGAmBGA(Micro Ball Grid Array Package微型球柵陣列封裝)可以說是BGA的改進(jìn)版,封裝呈正方形,內(nèi)存芯片的面積比較小。由于采用這種封裝方式內(nèi)存芯片的針腳都在芯片下部,連接短、電氣性能好、也不易受干擾,這種封裝技術(shù)會帶來更好的散熱及超頻性能。圖5-8展示了mBGA封裝的內(nèi)存芯片。圖5-8 mBGA封裝的內(nèi)存芯片。.7 CSPCSP(Chip Scale Package芯片級封裝)是一種新的封裝方式。在BGA

22、、TSOP的基礎(chǔ)上,CSP封裝的性能有了革命性的提升。CSP封裝可以讓芯片面積與封裝面積之比超過11.14,接近11的理想情況,這樣在相同體積下,內(nèi)存模塊可以裝入更多的內(nèi)存芯片,從而增大單條容量。CSP封裝的內(nèi)存芯片不僅可以通過PCB板散熱,還可以從背面散熱,且散熱效率良好。圖5-9展示了CSP封裝的內(nèi)存芯片。圖5-9 CSP封裝的內(nèi)存芯片。.8 WLCSPWLCSP(Wafer Level Chip Scale Package晶圓級芯片封裝),這種技術(shù)不同于傳統(tǒng)的先切割晶圓,再封裝測試的做法,而是先在整片晶圓上進(jìn)行封裝和測試,然后再切割。WLCSP有著更明顯的優(yōu)勢。首先是工藝工序大大優(yōu)化,晶

23、圓直接進(jìn)入封裝工序,而傳統(tǒng)工藝在封裝之前還要對晶圓進(jìn)行切割、分類。所有集成電路一次封裝,刻印工作直接在晶圓上進(jìn)行,設(shè)備測試一次完成,這在傳統(tǒng)工藝中都是不可想象的。其次,生產(chǎn)周期和成本大幅下降,WLCSP的生產(chǎn)周期已經(jīng)縮短到1天半。而且,新工藝帶來優(yōu)異的性能,采用WLCSP封裝技術(shù)使芯片所需針腳數(shù)減少,提高了集成度;電氣性能的提升,引腳產(chǎn)生的電磁干擾幾乎被消除。圖5-10展示了WLCSP封裝的內(nèi)存芯片。圖5-10 WLCAP封裝的內(nèi)存芯片。 內(nèi)存模塊與插槽最初,系統(tǒng)通過單獨的芯片安裝內(nèi)存。IBM XT和AT在主板有36個插座用于這些單獨的DIP封裝的內(nèi)存芯片。后來把內(nèi)存芯片焊接在主板或擴(kuò)展卡里,

24、這樣可以防止芯片的偏離,使得連接更加牢固。但同時也會引起另一個問題,如果一個芯片真的壞了,用戶需要去掉焊接并重焊接一個新的芯片,或者拆卸包含壞芯片的主板或內(nèi)存卡。這種方法比較昂貴,而且使得解決內(nèi)存問題更為困難。因此,需要一種既可焊接又可拆卸的芯片,這就提出了內(nèi)存模塊的概念。前面曾介紹過的SIMM、DIMM和RIMM就是一種內(nèi)存模塊。它們的外形,就是一個焊接有若干個內(nèi)存芯片F(xiàn)PM RAM、EDO RAM、SDRAM、DDR-SDRAM、DDR2-SDRAM或RDRAM的小長條形的插卡。SIMM有兩種主要的物理類型30針(8位加上1個可選的校驗位)和72針(32位加上4個可選的校驗位)它們具有各種

25、容量和其他參數(shù)。30針的SIMM(參見圖5-11)比72針的SIMM(參見圖5-12)要小。兩種版本在一面或兩面上都有芯片。 圖5-11 典型的30針SIMM 圖5-12 典型的72針SIMM DIMM有三種類型,通常使用標(biāo)準(zhǔn)SDRAM、DDR-SDRAM或DDR2-SDRAM芯片,這三種類型可以通過其物理特性加以區(qū)分。標(biāo)準(zhǔn)DIMM(參見圖5-13)具有168針,每一面都有1個槽口,在連接的地方還有2個槽口;DDR DIMM(參見圖5-14)有184針,每一面有2個槽口,在連接的地方只有1個槽;DDR2 DIMM(參見圖5-15)有240針,每一面都有2個槽口,在連接的地方有1個槽口,位置比D

26、DR偏向中間的位置大概2-3mm。圖5-16展示了DDR和DDR2 DIMM之間的實物對照。所有的DIMM都是64位(非奇偶校驗)或72位(奇偶校驗或糾錯碼ECC)寬。圖5-13 典型的168針SDRAM DIMM 圖5-14 典型的184針DDR DIMM 圖5-15 典型的240針DDR2 DIMM 圖5-16 DDR和DDR2 DIMMSIMM和DIMM的主要物理差別在于 DIMM模塊每一面的信號針數(shù)不同,這是它們被稱為雙內(nèi)聯(lián)內(nèi)存模塊的原因,也是它們只比SIMM長一點但卻多出好多針腳的原因。圖5-17展示了30針、72針SIMM和SDRAM、DDR DIMM之間的實物對照。圖5-17 3

27、0針、72針SIMM和SDRAM、DDR DIMM RIMM也是雙面的,目前只有一種RIMM(參見圖5-18),它有184個針腳,每一面有1個槽口,連接的地方中間有2個槽口。RIMM非ECC版有16位數(shù)據(jù)寬度,ECC版則都是18位寬。 圖5-18 典型的184針RIMM和連接模塊我們在主板上??吹桨惭bSIMM、DIMM、DDR DIMM、DDR2 DIMM和RIMM等內(nèi)存模塊(俗稱SIMM條、DIMM條、DDR DIMM條、DDR2 DIMM條和RIMM條)的插槽,它們分別叫做SIMM槽、DIMM槽、DDR DIMM槽、DDR2 DIMM槽和RIMM槽。圖5-19展示了30針和72針SIMM插

28、槽,圖5-20展示了SDRAM和DDR DIMM插槽,圖5-21展示了DDR和DDR2 DIMM插槽,圖5-22展示了RIMM插槽。 圖5-19 30針和72針SIMM插槽 圖5-20 SDRAM和DDR DIMM插槽 圖5-21 DDR和DDR2 DIMM插槽 圖5-22 RIMM插槽5.5 內(nèi)存的性能內(nèi)存速度和內(nèi)存總線帶寬影響著內(nèi)存性能。內(nèi)存速度通常以ns(納秒)或MHz來表示,而處理器速度總是用MHz(兆赫茲)或GHz(吉赫茲)表示,這就增加了迷惑性。下面提出一種將它們互相轉(zhuǎn)換的方法。納秒被定義為一秒的十億分之一即10-9秒,這是一個非常短的時間。為了更直觀地體現(xiàn),我們用光速來說明,光在

29、真空里的速度是每秒299792公里。在十億分之一秒里,一束光只能傳輸,比通常的標(biāo)尺都短。兆赫茲(MHz)表示每秒百萬個周期。例如,2000MHz的處理器就意味著每秒二十億個周期。使用這些不同的術(shù)語討論速度是令人費解的,因此將它們比較一下可能會有趣些。表5-1列出了兆赫茲(MHz)與以納秒(ns)之間的關(guān)系。5-1 兆赫茲(MHz)與以納秒(ns)之間的關(guān)系時鐘頻率周期時間時鐘頻率周期時間時鐘頻率周期時間210ns225MHz750MHz6MHz167ns233MHz766MHz8MHz125ns250MHz800MHz10MHz100ns266MHz833MHz12MHz83ns300MHz8

30、50MHz16MHz63ns333MHz866MHz20MHz50ns350MHz900MHz25MHz40ns366MHz933MHz33MHz30ns400MHz950MHz40MHz25ns433MHz966MHz50MHz20ns450MHz1000MHz60MHz17ns466MHz1100MHz66MHz15ns500MHz1133MHz75MHz13ns533MHz1200MHz80MHz13ns550MHz1300MHz100MHz10ns566MHz1400MHz120MHz600MHzs1500MHz133MHz633MHz1600MHz150MHz650MHz1700MH

31、z166MHz666MHz1800MHz180MHz700MHz1900MHz200MHz733MHz2000MHz從上表可以看出,隨著時鐘頻率的提高,周期時間也相應(yīng)地下降。如果分析這張表,可以清晰地發(fā)現(xiàn),當(dāng)1GHz處理器要從133MHz內(nèi)存讀多個字節(jié)的數(shù)據(jù)時會發(fā)生什么情況?會出現(xiàn)大量的等待狀態(tài)!一個等待狀態(tài)是處理器在等待數(shù)據(jù)就緒之前必須執(zhí)行的一個額外的“什么也不做”的周期。由于內(nèi)存周期為7.5ns(133MHz),而處理器周期為1ns(1GHz),處理器需要執(zhí)行6個等待狀態(tài),然后數(shù)據(jù)才會在第7個周期準(zhǔn)備好。以這種方式增加等待狀態(tài)實際是將處理器速度減慢至內(nèi)存速度。在PC的發(fā)展史上,內(nèi)存速度一直

32、難于跟上處理器的速度,需要多級高速緩存來緩和處理器對較慢主存的請求,另外,處理器也出現(xiàn)了主頻、倍頻和外頻的概念。內(nèi)存總線帶寬是指在理想狀態(tài)下內(nèi)存在一秒內(nèi)所能傳輸?shù)淖畲髷?shù)據(jù)量,計算公式很簡單:內(nèi)存總線帶寬總量(MB) = 內(nèi)存時鐘頻率 (MHz) ×內(nèi)存總線位寬 (bits) ×每時鐘周期的傳輸數(shù)據(jù)位8。表5-2給出了PC系統(tǒng)中各種類型內(nèi)存的核心頻率、時鐘頻率和帶寬情況。表5-2 內(nèi)存核心頻率、時鐘頻率和帶寬內(nèi)存類型內(nèi)存總線位寬(Bytes)內(nèi)存核心頻率(MHz)內(nèi)存時鐘頻率(MHz)數(shù)據(jù)周期/時鐘周期帶寬(MB/s)FPM DRAM82222117EDO DRAM83333

33、1266PC66 SDRAM866661533PC100 SDRAM81001001800PC133 SDRAM813313311066PC1600 DDR-SDRAM(DDR200)810010021600PC2100 DDR-SDRAM(DDR266)813313322133PC2700 DDR-SDRAM(DDR333)816616622656PC3200 DDR-SDRAM(DDR400)820020023200PC600 RDRAM230030021200PC700 RDRAM235035021400PC800 RDRAM240040021600PC1066 RDRAM2533533

34、22133PC800 RDRAM Dual Channel440040023200PC3200 DDR2-SDRAM(DDR2 400)810020023200PC4300 DDR2-SDRAM(DDR2 533)813326624256PC5300 DDR2-SDRAM(DDR2 667)816633325328PC6400 DDR2-SDRAM(DDR2 800)820040026400一般來講,內(nèi)存總線的帶寬與處理器總線的帶寬相等時系統(tǒng)性能最高。表5-3列舉了PC系統(tǒng)中各種處理器總線的帶寬情況。表5-3 處理器總線帶寬處理器總線類型處理器總線位度(Bytes)處理器外頻(MHz)數(shù)據(jù)周期

35、/時鐘周期帶寬(MB/s)33MHz 486 CPU FSB433113366MHz FSB8661533100MHz FSB81001800133MHz FSB813311066200MHz FSB(AMD)810021600266MHz FSB(AMD)813322133333MHz FSB(AMD)816622656400MHz FSB(AMD)820023200400MHz FSB810043200533MHz FSB813344300800MHz FSB8200464001066MHz FSB826648512影響內(nèi)存性能除了內(nèi)存速度和內(nèi)存總線帶寬以外,還有一個重要的因素,那就是內(nèi)存

36、延遲。打個形象的比喻,就像在餐館里用餐的過程一樣,首先要點菜,然后還需要等待服務(wù)員上菜。同樣的道理,內(nèi)存延遲就是系統(tǒng)在進(jìn)入數(shù)據(jù)存取操作就緒狀態(tài)前需要等待內(nèi)存響應(yīng)的時間。內(nèi)存延遲時間越小,電腦從內(nèi)存中讀取數(shù)據(jù)的速度也就越快,內(nèi)存的性能也就越高。通常情況下,我們用4個連著的阿拉伯?dāng)?shù)字來表示一個內(nèi)存延遲,例如2-2-2-5。其中,第一個數(shù)字最為重要,它表示的是CAS Latency,也就是內(nèi)存存取數(shù)據(jù)所需的延遲時間CL。第二個數(shù)字表示的是tRCD,接下來的兩個數(shù)字分別表示的是tRP和tRAS。而第四個數(shù)字一般而言是它們中間最大的一個。 內(nèi)存的相關(guān)知識.1 內(nèi)存標(biāo)識的識別 通常在SIMM、DIMM和R

37、IMM上會有容量、類型、速度等相關(guān)參數(shù)的標(biāo)識(參見圖5-23),但在某些產(chǎn)品上也可能無法找到,這時就需要從內(nèi)存芯片的型號中得到所需的參數(shù)(參見圖5-24)。不幸的是,因為還沒有工業(yè)標(biāo)準(zhǔn)來對這些芯片編號,如果想理解這些數(shù)字的話就需要與查閱各生產(chǎn)廠商相關(guān)資料了。 圖5-23 內(nèi)存模塊上的相關(guān)標(biāo)識 圖5-24 內(nèi)存芯片上的相關(guān)標(biāo)識下面就以簡單講述一下Hynix內(nèi)存編號的規(guī)則。SDRAM內(nèi)存芯片的舊編號下面有工業(yè)標(biāo)準(zhǔn)來對這些模塊應(yīng)新的微處理器的數(shù)據(jù)傳輸、處理的需要。第1字段由HY組成,代表現(xiàn)代(Hynix)內(nèi)存芯片的前綴。第2字段表示產(chǎn)品類型。57代表SDRAM內(nèi)存;5D代表DDR-SDRAM內(nèi)存。;

38、V代表CMOS、3.3V電壓。第4字段表示密度與刷新速度。4代表4Mbit密度、1K刷新速度;16代表16Mbit密度、4K刷新速度;64代表64Mbit密度、8K刷新速度;65代表64Mbit密度、4K刷新速度;129代表128Mbit密度、4K刷新速度;257代表256Mbit密度、8K刷新速度。第5字段表示內(nèi)存結(jié)構(gòu)。40代表×4;80代表×8;16代表×16;32代表×32。第6字段表示內(nèi)存芯片內(nèi)部由幾個Bank組成。1代表2Bank;2代表4Bank。第7字段表示電氣接口。0代表LVTTL;1代表SSTL;2代表SSTL_2。第8字段表示內(nèi)存芯片

39、的修正版本??瞻状淼?版;A代表第2版;B代表第3版;C代表第4版;D代表第5版。第9字段表示功率消耗能力。空白代表正常功耗;L代表低功耗。第10字段表示內(nèi)存芯片的封裝方式。TC代表400mil TSOP封裝;TQ代表100Pin-TQFP封裝。第11字段表示內(nèi)存芯片的速度標(biāo)識。5代表5ns(200MHz);55代表5.5ns(183MHz);6代表6ns(166MHz);7代表7ns(143MHz);75代表7.5ns(133MHz);8代表8ns(125MHz);10P代表10ns (100MHz CL=2或3);10S代表10ns(100MHz CL= 3);10代表10ns(100M

40、Hz);12代表12ns (83MHz);15代表15ns(66MHz)。第12字段表示工作溫度類型(此字段也可空白)。I代表工業(yè)溫度;E代表擴(kuò)大溫度。比如有一種芯片,型號為HY57V651620-TC10。根據(jù)DIMM芯片上的這些數(shù)據(jù)可以知道,它們是10ns的64M位的芯片,構(gòu)成為4MB×16(行列結(jié)構(gòu))。因為芯片每一面有4個芯片,構(gòu)成一個64位的4MB×64位芯片組(或者4MB×8字節(jié),共32MB)。另一面也有同等數(shù)量的芯片,因為DIMM最多只能有 64位。所以這樣總體構(gòu)成一個8MB×64位即8MB×8字節(jié)共64MB的存儲器。由于已沒有其他

41、芯片,故該芯片缺少附加的8位來構(gòu)成72位帶錯誤糾檢功能的DIMM。現(xiàn)在,在解釋了芯片型號再加上相關(guān)信息的基礎(chǔ)上,已能描述出該芯片是64MB雙面、無錯誤校驗功能、時鐘頻率為100MHz、封裝形式為TSOP的SDRAM DIMM。SDRAM內(nèi)存芯片的新編號第1字段由HY組成,代表現(xiàn)代(Hynix)內(nèi)存芯片的前綴。第2字段表示產(chǎn)品類型。57代表SDRAM內(nèi)存。;S代表VDD電壓為1.8V、VDDQ電壓為1.8V。第4字段表示密度與刷新速度。16代表16Mbit密度、2K刷新速度;32代表32Mbit密度、4K刷新速度;64代表64Mbit密度、4K刷新速度;28代表128Mbit密度、4K刷新速度;

42、2A代表128Mbit密度(TCSR)、4K刷新速度;56代表256Mbit密度、8K刷新速度;12代表512Mbit密度、8K刷新速度。第5字段表示內(nèi)存結(jié)構(gòu)。4代表×4;8代表×8;16代表×16;32代表×32。第6字段表示內(nèi)存芯片內(nèi)部由幾個Bank組成。1代表2Bank;2代表4Bank。第7字段表示電氣接口。0代表LVTTL;1代表SSTL_3。第8字段表示內(nèi)存芯片的修正版本??瞻谆騂代表第1版;A或HA代表第2版;B或HB代表第3版;C或HC代表第4版。也有一些特殊的編號規(guī)則,如:編號為HY57V64420HFT是第7版;編號為HY57V644

43、20HGT和HY57V64820HGT是第8版;編號為HY57V28420AT是第3版;編號為HY57V56420HDT是第5版。第9字段表示功率消耗能力??瞻状碚9?;L代表低功耗;S代表超低功耗。第10字段表示內(nèi)存芯片的封裝方式。T代表TSOP封裝;K代表Stack封裝(Type1);J代表Stack封裝(Type2)。第11字段表示內(nèi)存芯片的封裝材料??瞻状碚?;P代表Pb free;H代表Halogen free;R代表Pb & Halogen free。第12字段表示內(nèi)存芯片的速度標(biāo)識。5代表200MHz;55代表183MHz;6代表166MHz;7代表143MHz;K

44、代表PC133(CL=2);H代表PC133(CL=3);8代表125MHz;P代表PC100(CL=2);S代表PC100(CL=3);10代表100MHz。第13字段表示工作溫度類型(此字段也可空白)。I代表工業(yè)溫度;E代表擴(kuò)大溫度。DDR-SDRAM內(nèi)存芯片的編號第1字段由HY組成,代表現(xiàn)代(Hynix)內(nèi)存芯片的前綴。第2字段表示產(chǎn)品類型。5D代表DDR-SDRAM內(nèi)存;5P代表DDR-II內(nèi)存。;S代表VDD電壓為1.8V、VDDQ電壓為1.8V。第4字段表示密度與刷新速度。64代表64Mbit密度、4K刷新速度;66代表64Mbit密度、2K刷新速度;28代表128Mbit密度、4

45、K刷新速度;56代表256Mbit密度、8K刷新速度;12代表512Mbit密度、8K刷新速度;1G代表1Gbit密度、8K刷新速度。第5字段表示內(nèi)存結(jié)構(gòu)。4代表×4;8代表×8;16代表×16;32代表×32。第6字段表示內(nèi)存芯片內(nèi)部由幾個Bank組成。1代表2Bank;2代表4Bank;3代表8Bank。第7字段表示電氣接口。1代表SSTL_3;2代表SSTL_2;3代表SSTL_18。第8字段表示內(nèi)存芯片的修正版本??瞻状淼?版;A代表第2版;B代表第3版;C代表第4版。第9字段表示功率消耗能力??瞻状碚9模籐代表低功耗。第10字段表示內(nèi)存

46、芯片的封裝方式。T代表TSOP封裝;Q代表LQFP封裝;F代表FBGA封裝;S代表Stack封裝(Hynix);K代表Stack封裝(M&T);J代表Stack封裝(其他)。第11字段表示內(nèi)存芯片的封裝材料??瞻状碚?;P代表Pb free;H代表Halogen free;R代表Pb & Halogen free。第12字段表示內(nèi)存芯片的速度標(biāo)識。26代表375MHz;28代表350MHz;3代表333MHz;33代表300MHz;36代表275MHz;4代表250MHz;43代表233MHz;45代表222MHz;5代表200MHz;55代表183MHz;6代表166MHz

47、;D4代表DDR400;D5代表DDR533;J代表DDR333;M代表DDR266 2-2-2;K代表DDR266;AH代表DDR266;BL代表DDR200。第13字段表示工作溫度類型(此字段也可空白)。I代表工業(yè)溫度;E代表擴(kuò)大溫度。RDRAM內(nèi)存芯片的編號第1字段由HY組成,代表現(xiàn)代(Hynix)內(nèi)存芯片的前綴。第2字段表示產(chǎn)品類型。5R代表DRDRAM內(nèi)存。;W代表CMOS、1.8V電壓。第4字段表示密度與刷新速度。64代表64Mbit密度、8K刷新速度;72代表72Mbit密度、8K刷新速度;128代表128Mbit密度、8K刷新速度;144代表144Mbit密度、8K刷新速度;2

48、56代表256Mbit密度、16K刷新速度;288代表288Mbit密度、16K刷新速度。第5字段表示內(nèi)存芯片的修正版本??瞻谆騂代表第1版;A或HA代表第2版;B或HB代表第3版;C或HC代表第4版;D或HD代表第5版。第6字段表示內(nèi)存芯片的封裝方式。E代表Edge Bonding封裝;C代表Center Bonding封裝;M代表Mirror封裝。第7字段表示工作頻率。6代表600MHz;7代表700MHz;8代表800MHz。第8字段表示內(nèi)存芯片的速度標(biāo)識,此速度是指tRAC(Row Access Time)。40代表40ns;45代表45ns;50代表50ns;53代表53ns。.2

49、內(nèi)存模塊的單雙面有些內(nèi)存模塊是只有單面有內(nèi)存芯片,但有的卻是雙面都有,這些單面和雙面內(nèi)存模塊到底有些什么差異呢?按照內(nèi)存的工作原理,內(nèi)存?zhèn)鬏敂?shù)據(jù)的位寬也就是內(nèi)存總線的位寬。例如,目前系統(tǒng)的內(nèi)存總線都是64bit,這也意味著內(nèi)存每次必須傳輸64bit位寬的數(shù)據(jù)。從制造工藝和成本來說,單芯片實現(xiàn)64bit位寬有一定的難度,所以內(nèi)存摸組需要多芯片協(xié)同工作,而不同的內(nèi)存顆粒有不同的位寬,要構(gòu)成64bit位寬,8bit的需要8個芯片,而16bit的需要4個,這樣,我們就把構(gòu)成64bit位寬的一組內(nèi)存芯片稱之為一個物理Bank。如果一個內(nèi)存模塊是由分布在單面的8個8bit的芯片構(gòu)成,那它有1個物理Bank

50、,如果是由分別在雙面的16個8bit的芯片構(gòu)成,那它就有2個物理Bank(16×864)。并不是所有的單面的內(nèi)存都是一個物理Bank,例如,單面8個16bit芯片的內(nèi)存模塊就有2個物理Bank。也不是所有的雙面內(nèi)存模塊都是雙物理Bank,例如16個4bit的芯片構(gòu)成的內(nèi)存模塊就只有1個物理Bank。不同的芯片組所支持的物理Bank是不同的。如Intel 845系列芯片組支持4個物理Bank,而SiS的645系列芯片組則能支持6個物理Bank。如果主板只能支持4個物理Bank,而我們用的內(nèi)存模塊有6個物理Bank,那多余的2個物理Bank就白白地浪費了。所以,我們更應(yīng)該關(guān)注內(nèi)存模塊的物

51、理Bank數(shù),而不是內(nèi)存的單雙面。.3 內(nèi)存模塊的組合使用我們知道,系統(tǒng)中的內(nèi)存模塊的位寬必須和內(nèi)存總線的位寬相對應(yīng)。在PC的發(fā)展過程中,內(nèi)存總線的位寬在Pentium、K6時代就已經(jīng)達(dá)到了64位,72針的SIMM位寬是32位,所以就需要同時安裝2個內(nèi)存模塊來組合成64位使用。而DIMM的位寬是64位,因此在一個系統(tǒng)只要安裝單條DIMM就能正常工作。有關(guān)內(nèi)存總線位寬與內(nèi)存模塊之間的關(guān)系如表5-4。表5-4 內(nèi)存總線位寬與內(nèi)存模塊之間的關(guān)系處理器內(nèi)存總線位寬內(nèi)存模塊位寬(無奇偶校驗)內(nèi)存模塊位寬(有奇偶校驗)30針SIMM個數(shù)72針SIMM個數(shù)DIMM個數(shù)80888位8位9位1n/an/a808

52、616位16位18位2n/an/a28616位16位18位2n/an/a386SX,SL,SLC16位16位18位2n/an/a486SLC,SLC216位16位18位2n/an/a386DX,32位32位36位41n/a486SX,DX,32位32位36位41n/aPentium,K6及以后64位64位72位821值得注意的是,目前主流的系統(tǒng)已經(jīng)不對30腳和72腳的SIMM提供支持。內(nèi)存模塊的組合使用的另外一個情況是用來組建雙通道內(nèi)存。雙通道技術(shù)在當(dāng)今的電腦應(yīng)用越來越廣泛,雙通道內(nèi)存技術(shù)其實是雙通道內(nèi)存控制技術(shù),這是一種芯片組的技術(shù),而不是內(nèi)存技術(shù)。它的技術(shù)核心在于:芯片組中的內(nèi)存控制器(K

53、8核心AMD處理器的內(nèi)存控制器集成在處理器中)可以在兩個不同的數(shù)據(jù)通道上分別尋址、讀取數(shù)據(jù),內(nèi)存總線可以達(dá)到雙倍的寬度,能有效地提高內(nèi)存總帶寬,從而適應(yīng)處理器的數(shù)據(jù)傳輸、處理的需要,相應(yīng)的,這樣就需要兩個內(nèi)存模塊來配合使用。 內(nèi)存模塊的SPD芯片從PC100標(biāo)準(zhǔn)開始內(nèi)存模塊上帶有SPD(Serial Presence Detect,串行存在檢測)芯片(參見圖5-25)。SPD芯片一般位于內(nèi)存模塊正面右側(cè),是一塊8針腳小芯片,容量為256字節(jié),里面保存著內(nèi)存的速度、時鐘頻率、容量、工作電壓、CAS、tRCD、tRP、tAC、SPD版本等信息。SPD信息一般都是在出廠前,由內(nèi)存模塊制造商根據(jù)內(nèi)存芯

54、片的實際性能寫入到芯片中。當(dāng)開機(jī)時,支持SPD功能的主板BIOS就會讀取SPD中的信息,按照讀取的值來設(shè)置內(nèi)存的相關(guān)參數(shù),從而可以充分發(fā)揮內(nèi)存條的性能。上述情況實現(xiàn)的前提條件是在BIOS設(shè)置界面中,將內(nèi)存設(shè)置選項設(shè)為“By SPD”。當(dāng)主板BIOS從內(nèi)存模塊中不能檢測到SPD信息時,它就只能提供一個較為保守的配置。我們可以借助SPDinfo這類工具軟件來查看SPD芯片中的信息。圖5-25 內(nèi)存模塊上的SPD芯片 內(nèi)存模塊的金手指金手指(connecting finger)是內(nèi)存模塊與內(nèi)存插槽之間的連接部件,所有的信號都是通過金手指進(jìn)行傳送的。金手指由眾多金黃色的導(dǎo)電觸片組成,因其表面鍍金而且導(dǎo)

55、電觸片排列如手指狀,所以稱為“金手指”。金手指實際上是在覆銅板上通過特殊工藝再覆上一層金,因為金的抗氧化性極強(qiáng),而且傳導(dǎo)性也很強(qiáng)。不過因為金昂貴的價格,目前較多的內(nèi)存都采用鍍錫來代替。從上個世紀(jì)90年代開始錫材料就開始普及,目前主板、內(nèi)存和顯卡等設(shè)備的“金手指”幾乎都是采用的錫材料,只有部分高性能服務(wù)器/工作站的配件接觸點才會繼續(xù)采用鍍金的做法,價格自然不菲。金手指直接影響內(nèi)存在長期運行過程中的穩(wěn)定性。如果金手指的制作工藝有問題,安裝時容易受到磨損,工作一段時間以后就會出現(xiàn)金手指表面氧化的情況,經(jīng)常導(dǎo)致系統(tǒng)不穩(wěn)定,頻繁死機(jī)。另外,如果PC系統(tǒng)周圍的使用環(huán)境比較潮濕、多塵,那么也容易出現(xiàn)上述的癥狀。為了保證金手指與接觸部位的良好導(dǎo)通性,這時就需要對內(nèi)存模塊的金手指進(jìn)行擦拭清潔。 奇偶校驗和ECC內(nèi)存錯誤通常分為兩大類:硬錯誤(hard fail)和軟錯誤(soft error)。最好理解的是硬錯誤,即芯片工作一段時間后,由于缺陷、物理破壞或其他問題而永久性的損壞。要修復(fù)這種錯誤通常要求替換一些存儲硬件,如芯片、SIMM或DIMM。另一類潛藏的錯誤是軟錯誤,這些錯誤不是永久性的,而且它們不會再發(fā)生或者只是偶爾會再次發(fā)生(通過關(guān)機(jī)重啟系統(tǒng),軟錯誤就可以被有效的“修復(fù)

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論