EDA課程設(shè)計(jì)--數(shù)字跑表_第1頁(yè)
EDA課程設(shè)計(jì)--數(shù)字跑表_第2頁(yè)
EDA課程設(shè)計(jì)--數(shù)字跑表_第3頁(yè)
EDA課程設(shè)計(jì)--數(shù)字跑表_第4頁(yè)
EDA課程設(shè)計(jì)--數(shù)字跑表_第5頁(yè)
已閱讀5頁(yè),還剩4頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、;.一、設(shè)計(jì)題目及要求設(shè)計(jì)題目:數(shù)字跑表要求:1 具有暫停,啟動(dòng)功能; 2 具有重新開始功能; 3 用六個(gè)數(shù)碼管分別顯示百分秒,秒和分鐘。二、設(shè)計(jì)過(guò)程及內(nèi)容總體設(shè)計(jì):第一,對(duì)于要實(shí)現(xiàn)的暫停、啟動(dòng)和重新開始功能,需要有一個(gè)控制模塊完成相關(guān)控制。第二由題意可知需要一個(gè)分頻模塊,將實(shí)驗(yàn)箱提供的頻率轉(zhuǎn)換為100HZ即數(shù)字跑表百分秒的頻率。第三是計(jì)時(shí)模塊,完成跑表的百分秒、秒和分鐘的計(jì)時(shí)功能。第四由于實(shí)驗(yàn)箱提供的數(shù)碼顯示是掃描顯示,這就需要一個(gè)選時(shí)模塊。第五部分則是顯示模塊。詳細(xì)設(shè)計(jì)過(guò)程:根據(jù)要求,將設(shè)計(jì)分成五個(gè)模塊:1、控制模塊:使跑表具有啟動(dòng)、暫停及重新開始的功能;2、分頻模塊:將實(shí)驗(yàn)箱所提供的頻率

2、轉(zhuǎn)換為設(shè)計(jì)題目所需要的100HZ的時(shí)鐘脈沖;3、計(jì)時(shí)模塊:進(jìn)行百分秒、秒、分的計(jì)時(shí),并且將當(dāng)前時(shí)間輸出給選時(shí)模塊;4、選時(shí)模塊:從計(jì)時(shí)器得到當(dāng)前時(shí)間輸出給顯示模塊;5、顯示模塊:通過(guò)數(shù)碼管顯示時(shí)間??倛D如下:仿真波形:第一個(gè)模塊:控制模塊控制模塊主要運(yùn)用了兩個(gè)D觸發(fā)器,輸入到觸發(fā)器的時(shí)鐘信號(hào)CLK1頻率為2.86Hz,對(duì)電路起到了防抖的功能。START/STOP為啟動(dòng)暫停按鈕,當(dāng)跑表為START狀態(tài)時(shí)CLK端為高電平,Q為1,時(shí)鐘信號(hào)輸出,當(dāng)跑表為STOP狀態(tài)時(shí)CLK端為低電平,Q為0,時(shí)鐘信號(hào)不輸出,從而實(shí)現(xiàn)開始和暫停的功能。與門可控制時(shí)鐘信號(hào)是否被輸出到下一級(jí)。RESET端為全局清零按鈕,

3、接到控制模塊和計(jì)時(shí)模塊的清零端,負(fù)責(zé)將計(jì)數(shù)器清零。當(dāng)RESET為低電平時(shí),控制模塊和總計(jì)數(shù)器模塊清零,跑表重新開始工作。電路圖如下:仿真波形:第二個(gè)模塊:分頻模塊為了將實(shí)驗(yàn)箱提供的1465HZ轉(zhuǎn)換成實(shí)驗(yàn)需要的100HZ,我將74161接成15進(jìn)制計(jì)數(shù)器,實(shí)現(xiàn)分頻的功能,轉(zhuǎn)換為100HZ的近似時(shí)鐘信號(hào)。然后將輸出的時(shí)鐘接入到計(jì)時(shí)模塊。電路圖如下:仿真波形:第三個(gè)模塊:計(jì)時(shí)模塊計(jì)時(shí)模塊由一個(gè)100進(jìn)制計(jì)數(shù)器和兩個(gè)60進(jìn)制計(jì)數(shù)器構(gòu)成,從而實(shí)現(xiàn)百分秒向秒、秒向分的計(jì)數(shù)功能需求。100進(jìn)制計(jì)數(shù)器和60進(jìn)制計(jì)數(shù)器均采用兩個(gè)74160,100進(jìn)制采用并行進(jìn)位方式,60進(jìn)制采用整體置數(shù)方式。從100進(jìn)制計(jì)數(shù)器

4、和60進(jìn)制計(jì)數(shù)器這三個(gè)輸出端分別接出八個(gè)端口(百分秒、秒、分的個(gè)位及十位分別由四個(gè)二進(jìn)制代碼表示),將當(dāng)前時(shí)間代碼輸送給選時(shí)模塊,以實(shí)現(xiàn)時(shí)間的選擇和顯示。(百分秒個(gè)位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D;秒個(gè)位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分個(gè)位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D.)電路圖如下:仿真波形:100進(jìn)制計(jì)數(shù)器(count100):仿真波形:60進(jìn)制計(jì)數(shù)器(count60):仿真波形:第四個(gè)模塊:選時(shí)模塊選時(shí)模塊由四個(gè)八選一數(shù)據(jù)選擇器74LS151和一個(gè)地址選擇器

5、74LS161構(gòu)成。地址選擇器74LS161接入一個(gè)1465 HZ的時(shí)鐘信號(hào),使能端和清零端接高電平,使其循環(huán)工作,產(chǎn)生的一組循環(huán)地址碼接入到四個(gè)八選一數(shù)據(jù)選擇器74LS151上,使其對(duì)地址相同的一組數(shù)據(jù)進(jìn)行選擇,產(chǎn)生四個(gè)二進(jìn)制數(shù)CA,CB,CC,CD,即為數(shù)碼管所要顯示的數(shù)字的編碼。同時(shí),地址選擇器74LS161產(chǎn)生一組循環(huán)地址碼a、b、c,接到數(shù)碼管的地址端,使其循環(huán)顯示數(shù)字。第一個(gè)74LS151上的輸入端為百分秒、秒、分個(gè)位及十位的四位二進(jìn)制的最低位( H0A, H1A ,S0A,S1A, M0A, M1A), 第二個(gè)74LS151上的輸入端為百分秒、秒、分個(gè)位及十位的四位二進(jìn)制的次低位

6、(H0B,H1B ,S0B,S1B,M0B,M1B), 第三個(gè)74LS151上的輸入端為百分秒、秒、分個(gè)位及十位的四位二進(jìn)制的第二位(H0C,H1C ,S0C,S1C,M0C,M1C), 第四個(gè)74LS151上的輸入端為百分秒、秒、分個(gè)位及十位的四位二進(jìn)制的第一位(H0D,H1D ,S0D,S1D,M0D,M1D),通過(guò)這四個(gè)八位二進(jìn)制數(shù)比較器74LS151選出同一組數(shù)(百分秒個(gè)位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D;秒個(gè)位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分個(gè)位:M0A,M0B,M0C,M0D;分十位:M1A,M

7、1B,M1C,M1D)作為輸出CA,CB,CC,CD,接到顯示模塊輸入端。電路圖如下:仿真波形:第五個(gè)模塊:顯示模塊顯示模塊采用BCD七段顯示譯碼器7448對(duì)實(shí)驗(yàn)板上數(shù)碼管進(jìn)行驅(qū)動(dòng)。由選時(shí)模塊輸出的顯示數(shù)字編碼CA,CB,CC,CD接至輸入端A,B,C,D,使輸出端產(chǎn)生七位譯碼連接到實(shí)驗(yàn)箱公共數(shù)據(jù)輸入端ABCDEDG,從而顯示出數(shù)據(jù)。電路圖如下:仿真波形:三、設(shè)計(jì)結(jié)論兩周的課程設(shè)計(jì)很快就結(jié)束了,雖然時(shí)間很短,但是收獲頗豐。通過(guò)這次課程設(shè)計(jì),我學(xué)到了許多關(guān)于EDA的知識(shí),學(xué)習(xí)到了很多EDA的實(shí)用功能,更重要的是鍛煉了我的實(shí)踐動(dòng)手能力,使我深刻地認(rèn)識(shí)到僅僅學(xué)習(xí)課本上的知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,要多思考,多

8、實(shí)踐,才能真正把學(xué)到的知識(shí)用到實(shí)際中,而且我也深刻認(rèn)識(shí)到通信專業(yè)在各個(gè)領(lǐng)域是多么有用武之地,更加使我有了學(xué)習(xí)深造的動(dòng)力。在設(shè)計(jì)的過(guò)程中遇到諸多問(wèn)題,一個(gè)接一個(gè),總結(jié)下來(lái)還是軟件沒(méi)有學(xué)深刻,出了問(wèn)題也不知道如何排查,波形圖一直找不到自己想看到的,后來(lái)經(jīng)過(guò)問(wèn)同學(xué)和自己的總結(jié)才知道這個(gè)仿真的時(shí)間要足夠長(zhǎng),才能看到自己所需要的部分。讓我知道做一件事之前的準(zhǔn)備工作是多么重要,同時(shí)在設(shè)計(jì)的過(guò)程中發(fā)現(xiàn)了自己的不足之處,對(duì)以前所學(xué)過(guò)的知識(shí)理解得不夠深刻,掌握得不夠牢固。遇到問(wèn)題才去翻書查資料,這些都是我以后要改進(jìn)的地方。這次的EDA課程設(shè)計(jì)給了我一次非常重要也非常難得的實(shí)踐機(jī)會(huì),使我可以將平時(shí)課本上學(xué)習(xí)的理論知識(shí)應(yīng)用于實(shí)際操作。設(shè)計(jì)過(guò)程多于我這個(gè)專業(yè)知識(shí)還不牢固的很困難,

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論