多功能信號發(fā)生器畢業(yè)設(shè)計_第1頁
多功能信號發(fā)生器畢業(yè)設(shè)計_第2頁
多功能信號發(fā)生器畢業(yè)設(shè)計_第3頁
多功能信號發(fā)生器畢業(yè)設(shè)計_第4頁
多功能信號發(fā)生器畢業(yè)設(shè)計_第5頁
已閱讀5頁,還剩55頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書摘摘 要要隨著科技的發(fā)展,對信號發(fā)生器的各方面要求越來越高。傳統(tǒng)的信號發(fā)生隨著科技的發(fā)展,對信號發(fā)生器的各方面要求越來越高。傳統(tǒng)的信號發(fā)生器由于波形精度低,頻率穩(wěn)定性差等特點,已經(jīng)不能滿足許多實際應(yīng)用的需要。器由于波形精度低,頻率穩(wěn)定性差等特點,已經(jīng)不能滿足許多實際應(yīng)用的需要。而且市場上出售的低頻信號發(fā)生器價格昂貴,為了適應(yīng)實際的需要,設(shè)計一種而且市場上出售的低頻信號發(fā)生器價格昂貴,為了適應(yīng)實際的需要,設(shè)計一種低頻信號發(fā)生器。低頻信號發(fā)生器。本文介紹了一種基于本文介紹了一種基于 EDA(電子設(shè)計自動化)技術(shù)的低頻信號發(fā)生器,它(電子設(shè)計自動化)技術(shù)的低頻信號

2、發(fā)生器,它采用采用 CPLD(復(fù)雜可編程邏輯器件)與單片機結(jié)合的方法,可以產(chǎn)生遞增鋸齒(復(fù)雜可編程邏輯器件)與單片機結(jié)合的方法,可以產(chǎn)生遞增鋸齒波、遞減鋸齒波、三角波、階梯波、方波、正弦波共波、遞減鋸齒波、三角波、階梯波、方波、正弦波共 6 種波形。它運用種波形。它運用DDS(直接數(shù)字頻率合成技術(shù))技術(shù)的基本工作原理,通過(直接數(shù)字頻率合成技術(shù))技術(shù)的基本工作原理,通過 QUARTUS II 9.0軟件和軟件和 VHDL 語言編程,由語言編程,由 CPLD 控制數(shù)據(jù)輸出,經(jīng)數(shù)模轉(zhuǎn)化器轉(zhuǎn)換成相應(yīng)控制數(shù)據(jù)輸出,經(jīng)數(shù)模轉(zhuǎn)化器轉(zhuǎn)換成相應(yīng)的模擬信號。整個信號發(fā)生器以單片機(的模擬信號。整個信號發(fā)生器以單

3、片機(STC89C52RC)為控制中心,主要由)為控制中心,主要由電源模塊、按鍵電路模塊、液晶顯示模塊、調(diào)幅模塊、波形生成模塊、濾波模電源模塊、按鍵電路模塊、液晶顯示模塊、調(diào)幅模塊、波形生成模塊、濾波模塊等組成。另外為了更好的完成本次畢設(shè),本次設(shè)計塊等組成。另外為了更好的完成本次畢設(shè),本次設(shè)計 CPLD 選用選用 ALTERA 公公司的司的 EPM240CT100C5。關(guān)鍵詞:低頻信號發(fā)生器;EDA;復(fù)雜可編程邏輯器件;DDS;VHDL中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書AbstractWith the development of technology, signal generator h

4、ave become increasingly demanding in all aspects. Traditional signal generators can not meet the needs of many practical applications because of low precision waveform, frequency stability characteristics of poor and high price in the market. According to the really demands, we design a low-frequenc

5、y signal generator.In this paper, we introduce a low-frequency signal generator based on EDA (Electronic Design Automation) technology. It uses the method of combining CPLD (Complex Programmable Logic Devices) and SCM which can generate incremental ramp wave, decreasing saw tooth wave, triangle wave

6、, ladder wave, square wave, sine wave. It uses the basic working principle of DDS(Direct Digital Frequency Synthesis) technology, through the Quartus II 9.0 software and the VHDL programming language, and the CPLD control data output by the digital-analog converter which converts the corresponding a

7、nalog signal .The SCM (STC89C52RC) is the control center of the signal generator which is composed of power supply module, the key circuit module, LCD module, the AM module, the waveform generating module, filter module and other components. In addition to better completion of this complete set, thi

8、s design uses Altera Corporation EPM240CT100C5.Key words:a low-frequency signal generator;EDA;CPLD;DDS;VHDL中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書目錄目錄摘 要 .1ABSTRACT.31 緒論 .61.1 研究課題的目的及意義.61.2 國內(nèi)外現(xiàn)狀.71.3 本設(shè)計的目標(biāo).72 信號發(fā)生器設(shè)計方案 .92.1 傳統(tǒng)的信號發(fā)生器設(shè)計方案.92.2 基于微處理器和數(shù)字/模擬轉(zhuǎn)換器的設(shè)計方案.92.3 直接數(shù)字頻率合成技術(shù).102.4 DDS 方案選擇.113 CPLD 及開發(fā)語言簡介 .153

9、.1 CPLD 簡介.153.2 開發(fā)語言和開發(fā)環(huán)境的選擇.163.2.1 VHDL 語言簡介.164 多功能信號發(fā)生器硬件電路設(shè)計 .194.1 整體電路設(shè)計分析.194.2 單片機最小系統(tǒng)設(shè)計.194.2.1 單片機選型.194.2.2 STC89C52RC 單片機簡介.204.2.3 單片機最小系統(tǒng).214.3 按鍵電路設(shè)計.224.4 顯示電路設(shè)計.234.5 幅度控制電路設(shè)計.234.5.1 DAC0832 簡介.234.5.2 幅度控制電路分析.264.6 波形生成電路設(shè)計.274.7 低通濾波模塊設(shè)計.284.7.1 低通濾波器選擇.284.7.2 低通濾波器仿真設(shè)計.314.8

10、 CPLD 最小系統(tǒng)設(shè)計.324.9 電源模塊設(shè)計.345 低頻信號發(fā)生器軟件設(shè)計 .385.1 單片機軟件設(shè)計.385.2 CPLD 軟件設(shè)計.395.2.1 CPLD 內(nèi)部構(gòu)成.405.2.2 三角波模塊的程序流程圖及分析.425.2.3 矩形波模塊的程序流程圖.43中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書5.3 QUARTUS II 簡介和仿真 .455.3.1 Quartus II 簡介.455.3.2 CPLD 軟件仿真和實際波形圖.46結(jié)束語 .50致謝 .52參考文獻(xiàn) .54附錄 A CPLD 程序 .55中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書1 1 緒論緒論1.11.1 研究課題的目

11、的及意義研究課題的目的及意義信號發(fā)生器用于產(chǎn)生被測電路所需特定參數(shù)的電測試信號。在測試、研究信號發(fā)生器用于產(chǎn)生被測電路所需特定參數(shù)的電測試信號。在測試、研究或調(diào)整電子電路及設(shè)備時,為測定電路的一些電參量,如測量頻率響應(yīng)、噪聲或調(diào)整電子電路及設(shè)備時,為測定電路的一些電參量,如測量頻率響應(yīng)、噪聲系數(shù)、為電壓表定度等,都要求提供符合所定技術(shù)條件的電信號,以模擬在實系數(shù)、為電壓表定度等,都要求提供符合所定技術(shù)條件的電信號,以模擬在實際工作中使用的待測設(shè)備的激勵信號。在電路測試中,我們可以通過測量、對際工作中使用的待測設(shè)備的激勵信號。在電路測試中,我們可以通過測量、對比輸入和輸出信號,來判斷信號處理電路

12、的功能和特性是否達(dá)到設(shè)計要求。例比輸入和輸出信號,來判斷信號處理電路的功能和特性是否達(dá)到設(shè)計要求。例如,用信號發(fā)生器產(chǎn)生一個頻率為如,用信號發(fā)生器產(chǎn)生一個頻率為 1KHZ 的正弦波信號的正弦波信號,輸入到一個被測的信號輸入到一個被測的信號處理電路(功能為正弦波輸入、方波輸出)處理電路(功能為正弦波輸入、方波輸出) ,在被測電路輸出端可以用示波器檢,在被測電路輸出端可以用示波器檢驗是否有符合設(shè)計要求的方波輸出。驗是否有符合設(shè)計要求的方波輸出。信號發(fā)生器即可以構(gòu)成獨立的信號源,也可以是高性能網(wǎng)絡(luò)分析儀、頻譜儀及其他部分自動測試設(shè)備的組成部分,因為它能夠提高質(zhì)量的精密信號源及掃頻源,可使用相應(yīng)系統(tǒng)的

13、檢測過程大大簡化降低檢測費用并極大地提高檢測精度。在科學(xué)研究、工程教育及生產(chǎn)實踐中,如工業(yè)過程控制、教學(xué)實驗、機械振動試驗、動態(tài)分析、材料試驗、生物醫(yī)學(xué)等領(lǐng)域,常常需要用到低頻信號發(fā)生器。而在我們?nèi)粘I钪?,以及一些科學(xué)研究中,鋸齒波和正弦波、矩形波信號是常用的基本測試信號。譬如在示波器、電視機等儀器中,為了使電子按照一定規(guī)律運動,以利用熒光屏顯示圖像,常用到鋸齒波產(chǎn)生器作為時基電路。信號發(fā)生器作為一種通用的電子儀器,在生產(chǎn)、科研、測控、通訊等領(lǐng)域都得到了廣泛的應(yīng)用。 但市面上能看到的儀器在頻率精度、帶寬、波形種類及程控方面都已不能滿足許多方面實際應(yīng)用的需求。加之各類功能的半導(dǎo)體集成芯片的快速

14、生產(chǎn),都使我們研制一種低功耗、寬頻帶,能產(chǎn)生多種波形并具有低頻的信號發(fā)生器成為可能。那么,對于我們來說,信號發(fā)生器的設(shè)計是讓我們掌握并鞏固所學(xué)的知識,那么,對于我們來說,信號發(fā)生器的設(shè)計是讓我們掌握并鞏固所學(xué)的知識,提高自己動手能力的一個重要的途徑。通過對它的設(shè)計,我們可以掌握信號發(fā)提高自己動手能力的一個重要的途徑。通過對它的設(shè)計,我們可以掌握信號發(fā)生器的精髓從而使能力得到很大的提高,這樣就有利于我們今后自身的發(fā)展。生器的精髓從而使能力得到很大的提高,這樣就有利于我們今后自身的發(fā)展。中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書1.21.2 國內(nèi)外現(xiàn)狀國內(nèi)外現(xiàn)狀由于微電子技術(shù)的發(fā)展,使得由于微電子技術(shù)的

15、發(fā)展,使得 CPLD(COMPLEX PROGRAMMABLE LOGIC DEVICES)的性能指標(biāo)如規(guī)模、功能、時間等性能越來越好,)的性能指標(biāo)如規(guī)模、功能、時間等性能越來越好,CPLD在數(shù)字系統(tǒng)設(shè)計中占據(jù)越來越重要的位置。在數(shù)字系統(tǒng)設(shè)計中占據(jù)越來越重要的位置。國內(nèi)外現(xiàn)在設(shè)計的信號發(fā)生器,早已放棄了使用傳統(tǒng)純硬件設(shè)計的方案,國內(nèi)外現(xiàn)在設(shè)計的信號發(fā)生器,早已放棄了使用傳統(tǒng)純硬件設(shè)計的方案,取而代之的是直接數(shù)字頻率合成技術(shù)(取而代之的是直接數(shù)字頻率合成技術(shù)(DIRECT DIGITAL FREQUENCY SYNTHESIS,簡稱,簡稱 DDS 或或 DDFS) ,它以,它以CPLD/FPGA

16、(FIELDPROGRAMMABLE GATE ARRAY)為核心,選用)為核心,選用高速數(shù)模轉(zhuǎn)換器件,以高速數(shù)模轉(zhuǎn)換器件,以 QUARTUS II 為開發(fā)環(huán)境的為開發(fā)環(huán)境的 EDA(ELECTRONIC DESIGN AUTOMATION)技術(shù),使得信號發(fā)生器的各項指標(biāo)、穩(wěn)定性、功能)技術(shù),使得信號發(fā)生器的各項指標(biāo)、穩(wěn)定性、功能多樣性得到了極大的提高。多樣性得到了極大的提高。目前大部分信號發(fā)生器的設(shè)計是以微控制器為核心進(jìn)行的,它與純硬件設(shè)目前大部分信號發(fā)生器的設(shè)計是以微控制器為核心進(jìn)行的,它與純硬件設(shè)計的信號發(fā)生器相比,具有高速、高精度、高可靠性、操作方便、價格便宜、計的信號發(fā)生器相比,具有

17、高速、高精度、高可靠性、操作方便、價格便宜、智能化等特點。同時使用單片機和智能化等特點。同時使用單片機和 CPLD 設(shè)計的多功能信號發(fā)生器,具有體積設(shè)計的多功能信號發(fā)生器,具有體積小、重量輕、功耗低、功能靈活的特點使得系統(tǒng)穩(wěn)定性大幅度提高。小、重量輕、功耗低、功能靈活的特點使得系統(tǒng)穩(wěn)定性大幅度提高。1.31.3 本設(shè)計的目標(biāo)本設(shè)計的目標(biāo)(1)掌握一種新的設(shè)計語言)掌握一種新的設(shè)計語言硬件語言硬件語言 VHDL(VERY-HIGH-SPEED INTEGRATED CIRCUIT HARDWARE DESCRIPTION LANGUAGE) ,并會,并會靈活運用。靈活運用。(2)了解)了解 ED

18、A 技術(shù),了解其開發(fā)流程。技術(shù),了解其開發(fā)流程。(3)制作高精度多功能電源。)制作高精度多功能電源。(4)實現(xiàn)信號發(fā)生器的最基本功能:產(chǎn)生遞增鋸齒波、遞減鋸齒波、三角)實現(xiàn)信號發(fā)生器的最基本功能:產(chǎn)生遞增鋸齒波、遞減鋸齒波、三角波、階梯波、方波、正弦波。波、階梯波、方波、正弦波。中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書2 2 信號發(fā)生器設(shè)計方案信號發(fā)生器設(shè)計方案2.12.1 傳統(tǒng)的信號發(fā)生器設(shè)計方案傳統(tǒng)的信號發(fā)生器設(shè)計方案在現(xiàn)代電子系統(tǒng)中,經(jīng)常需要產(chǎn)生穩(wěn)定的重復(fù)信號,例如,模擬電路中的在現(xiàn)代電子系統(tǒng)中,經(jīng)常需要產(chǎn)生穩(wěn)定的重復(fù)信號,例如,模擬電路中的正弦波信號或者

19、數(shù)字電路中的方波信號。傳統(tǒng)的信號發(fā)生器通常是首先產(chǎn)生所正弦波信號或者數(shù)字電路中的方波信號。傳統(tǒng)的信號發(fā)生器通常是首先產(chǎn)生所需頻率的正弦波信號,然后再利用比較器產(chǎn)生方波信號。需頻率的正弦波信號,然后再利用比較器產(chǎn)生方波信號。按照正弦波信號發(fā)生器中頻率選擇電路組成形式,它們可以劃分為:按照正弦波信號發(fā)生器中頻率選擇電路組成形式,它們可以劃分為:LC正弦波信號發(fā)生器、正弦波信號發(fā)生器、RC 正弦波信號發(fā)生器以及晶體正弦信號發(fā)生器。正弦波信號發(fā)生器以及晶體正弦信號發(fā)生器。對于對于 LC 正弦信號發(fā)生器和正弦信號發(fā)生器和 RC 正弦信號發(fā)生器,適當(dāng)?shù)卦O(shè)計頻率選擇電正弦信號發(fā)生器,適當(dāng)?shù)卦O(shè)計頻率選擇電路中

20、的電感、電容或者電阻的數(shù)值,信號發(fā)生器就可以產(chǎn)生所要求的工作頻率路中的電感、電容或者電阻的數(shù)值,信號發(fā)生器就可以產(chǎn)生所要求的工作頻率的信號。如果頻率選擇電路中電感、電容、或者電阻使用可調(diào)電感、可調(diào)電容的信號。如果頻率選擇電路中電感、電容、或者電阻使用可調(diào)電感、可調(diào)電容或者電位器來代替,通過調(diào)整這些可調(diào)器件,正弦信號產(chǎn)生器的工作頻率可以或者電位器來代替,通過調(diào)整這些可調(diào)器件,正弦信號產(chǎn)生器的工作頻率可以方便的調(diào)整。這兩種電路結(jié)構(gòu)簡單、價格便宜,它們獲得廣泛的應(yīng)用,但是這方便的調(diào)整。這兩種電路結(jié)構(gòu)簡單、價格便宜,它們獲得廣泛的應(yīng)用,但是這兩種電路穩(wěn)定度不高,通常為兩種電路穩(wěn)定度不高,通常為 103

21、量級。量級。晶體正弦波信號發(fā)生器具有較高的的頻率穩(wěn)定度,通常可以達(dá)到晶體正弦波信號發(fā)生器具有較高的的頻率穩(wěn)定度,通??梢赃_(dá)到 106量級,量級,但是它的工作頻率取決定于晶體的諧振頻率。在需要改變晶體正弦信號產(chǎn)生器但是它的工作頻率取決定于晶體的諧振頻率。在需要改變晶體正弦信號產(chǎn)生器工作頻率時,電路中用于頻率選擇的晶體必須被更換。工作頻率時,電路中用于頻率選擇的晶體必須被更換。如果既要求信號產(chǎn)生器的工作頻率穩(wěn)定,又要求能夠通過調(diào)整電路參數(shù)的如果既要求信號產(chǎn)生器的工作頻率穩(wěn)定,又要求能夠通過調(diào)整電路參數(shù)的方法來調(diào)整工作頻率,傳統(tǒng)的方法為采用基于鎖相環(huán)(方法來調(diào)整工作頻率,傳統(tǒng)的方法為采用基于鎖相環(huán)(

22、PHASE LOCKED LOOP,PLL)技術(shù)和非線性器件頻率變換技術(shù)的頻率合成器,但是這種頻率)技術(shù)和非線性器件頻率變換技術(shù)的頻率合成器,但是這種頻率合成器的電路結(jié)構(gòu)非常復(fù)雜。合成器的電路結(jié)構(gòu)非常復(fù)雜。2.22.2 基于微處理器和數(shù)字基于微處理器和數(shù)字/ /模擬轉(zhuǎn)換器的設(shè)計方案模擬轉(zhuǎn)換器的設(shè)計方案使用微處理器控制數(shù)字使用微處理器控制數(shù)字/模擬轉(zhuǎn)換器也可以產(chǎn)生所需要的信號波形。這種方模擬轉(zhuǎn)換器也可以產(chǎn)生所需要的信號波形。這種方法不僅可以產(chǎn)生正弦波信號,而且可以產(chǎn)生任意信號波形。把希望的波形信號法不僅可以產(chǎn)生正弦波信號,而且可以產(chǎn)生任意信號波形。把希望的波形信號通過采樣和量化可以產(chǎn)生波形數(shù)據(jù),

23、這些數(shù)據(jù)被放置在存儲器之中。工作時,通過采樣和量化可以產(chǎn)生波形數(shù)據(jù),這些數(shù)據(jù)被放置在存儲器之中。工作時,利用微處理器把這些波形數(shù)據(jù)送到數(shù)字利用微處理器把這些波形數(shù)據(jù)送到數(shù)字/模擬轉(zhuǎn)換器就能夠獲得所需要的波形。模擬轉(zhuǎn)換器就能夠獲得所需要的波形。由于這時產(chǎn)生的正弦信號是由微處理器的程序控制來實現(xiàn),微處理器的工由于這時產(chǎn)生的正弦信號是由微處理器的程序控制來實現(xiàn),微處理器的工中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書作又由它的時鐘控制,因此電路產(chǎn)生電路信號將具有與時鐘信號同樣的穩(wěn)定度,作又由它的時鐘控制,因此電路產(chǎn)生電路信號將具有與時鐘信號同樣的穩(wěn)定度,即可以達(dá)到與晶體信號產(chǎn)生器相同頻率的穩(wěn)定度。如果希望調(diào)

24、整輸出信號的頻即可以達(dá)到與晶體信號產(chǎn)生器相同頻率的穩(wěn)定度。如果希望調(diào)整輸出信號的頻率,這時可以通過在程序中添加具有延時功能的指令或者子程序來實現(xiàn)。率,這時可以通過在程序中添加具有延時功能的指令或者子程序來實現(xiàn)。使用微處理器控制數(shù)字使用微處理器控制數(shù)字/模擬轉(zhuǎn)換器產(chǎn)生需要的信號波形解決了輸出信號頻模擬轉(zhuǎn)換器產(chǎn)生需要的信號波形解決了輸出信號頻率穩(wěn)定度和頻率可調(diào)整性問題,同時還能產(chǎn)生任意信號波形,并且電路結(jié)構(gòu)也率穩(wěn)定度和頻率可調(diào)整性問題,同時還能產(chǎn)生任意信號波形,并且電路結(jié)構(gòu)也不復(fù)雜。這種方法的缺點是輸出信號的頻率較低,輸出信號頻率的調(diào)整精度也不復(fù)雜。這種方法的缺點是輸出信號的頻率較低,輸出信號頻率

25、的調(diào)整精度也較低。較低。例如,使用例如,使用 MCS51 系列單片機,單片機時鐘電路的頻率為系列單片機,單片機時鐘電路的頻率為 12MHZ,數(shù)字,數(shù)字/模擬轉(zhuǎn)換器使用模擬轉(zhuǎn)換器使用 DAC0832 產(chǎn)生正弦波信號,采用查表法的方法輸出一個正弦產(chǎn)生正弦波信號,采用查表法的方法輸出一個正弦函數(shù)值將需要函數(shù)值將需要 14 個機器周期,即個機器周期,即 14S。如果正弦波的數(shù)字波形表用。如果正弦波的數(shù)字波形表用 64 個點個點來描述一個完整的正弦波,因此所產(chǎn)生的正弦信號的最小周期為來描述一個完整的正弦波,因此所產(chǎn)生的正弦信號的最小周期為 896S,即最,即最高頻率為高頻率為 1116HZ。系統(tǒng)產(chǎn)生的正

26、弦信號頻率的調(diào)整可以通過在程序中添加具有延時功能的指系統(tǒng)產(chǎn)生的正弦信號頻率的調(diào)整可以通過在程序中添加具有延時功能的指令或者子程序來實現(xiàn)。在產(chǎn)生一個正弦函數(shù)值得過程中增加一句空操作指令令或者子程序來實現(xiàn)。在產(chǎn)生一個正弦函數(shù)值得過程中增加一句空操作指令NOP 可以實現(xiàn)可以實現(xiàn) 1S 的最小延遲時,這時系統(tǒng)產(chǎn)生一個正弦函數(shù)值則需要的最小延遲時,這時系統(tǒng)產(chǎn)生一個正弦函數(shù)值則需要15S。產(chǎn)生一個完整正弦波則需要。產(chǎn)生一個完整正弦波則需要 960 個機器周期,即個機器周期,即 960S,對應(yīng)的信號,對應(yīng)的信號頻率為頻率為 1041HZ。添加。添加 1S 延時前后的工作頻率變化絕對值為延時前后的工作頻率變化

27、絕對值為=1116-1041=75 (Hz) (2-1)f工作頻率變化的相對值為工作頻率變化的相對值為/ =75/1116=6.7% (2-2)f0f綜上所述,采用單片機控制數(shù)字綜上所述,采用單片機控制數(shù)字/模擬轉(zhuǎn)換器的電路結(jié)構(gòu)可以提高工作頻率模擬轉(zhuǎn)換器的電路結(jié)構(gòu)可以提高工作頻率的穩(wěn)定度,并且可以對工作頻率進(jìn)行調(diào)整,但是輸出信號的最高頻率的數(shù)值以的穩(wěn)定度,并且可以對工作頻率進(jìn)行調(diào)整,但是輸出信號的最高頻率的數(shù)值以及頻率調(diào)整的精度不能令人滿意。及頻率調(diào)整的精度不能令人滿意。2.32.3 直接數(shù)字頻率合成技術(shù)直接數(shù)字頻率合成技術(shù)直接數(shù)字頻率合成技術(shù)直接數(shù)字頻率合成技術(shù) DDS 是一種應(yīng)用數(shù)字技術(shù)來

28、實現(xiàn)產(chǎn)生信號波形的方是一種應(yīng)用數(shù)字技術(shù)來實現(xiàn)產(chǎn)生信號波形的方法,是法,是 60 年代出現(xiàn)的第三代頻率合成技術(shù)。年代出現(xiàn)的第三代頻率合成技術(shù)。DDS 技術(shù)建立在技術(shù)建立在 NYGUIST 時域時域采樣定理的基礎(chǔ)上,它首先對需要產(chǎn)生的信號波形進(jìn)行采樣和量化,然后存入采樣定理的基礎(chǔ)上,它首先對需要產(chǎn)生的信號波形進(jìn)行采樣和量化,然后存入存儲器作為待產(chǎn)生信號波形的數(shù)據(jù)表。在輸出信號波形時,從數(shù)據(jù)表中依次讀存儲器作為待產(chǎn)生信號波形的數(shù)據(jù)表。在輸出信號波形時,從數(shù)據(jù)表中依次讀中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書出數(shù)據(jù),產(chǎn)生數(shù)字化信號,這個信號再通過數(shù)字出數(shù)據(jù),產(chǎn)生數(shù)字化信號,這個信號再通過數(shù)字/模擬轉(zhuǎn)換成所

29、需的模擬信號波模擬轉(zhuǎn)換成所需的模擬信號波形。其實現(xiàn)過程如框圖形。其實現(xiàn)過程如框圖 2-1, 圖 2-1 采樣量化存儲恢復(fù)模擬信號輸入輸出DDS 實現(xiàn)基本過程當(dāng)改變信號波形數(shù)據(jù)的數(shù)據(jù)表中的數(shù)據(jù),就可以產(chǎn)生不同的波形。根據(jù)當(dāng)改變信號波形數(shù)據(jù)的數(shù)據(jù)表中的數(shù)據(jù),就可以產(chǎn)生不同的波形。根據(jù)NYQUIST 采樣定理知,要使信號恢復(fù),必須滿足采樣頻率大于被采樣信號最采樣定理知,要使信號恢復(fù),必須滿足采樣頻率大于被采樣信號最高頻率的高頻率的 2 倍,否則將產(chǎn)生混迭,經(jīng)倍,否則將產(chǎn)生混迭,經(jīng) D/A 不能恢復(fù)原信號。相對于其他信號不能恢復(fù)原信號。相對于其他信號波形產(chǎn)生技術(shù),波形產(chǎn)生技術(shù),DDS 技術(shù)具有輸出信號

30、采樣頻率固定、全數(shù)字化、易于控制、技術(shù)具有輸出信號采樣頻率固定、全數(shù)字化、易于控制、可編程、輸出相位連續(xù)和信號頻率轉(zhuǎn)換時間短等特點??删幊?、輸出相位連續(xù)和信號頻率轉(zhuǎn)換時間短等特點。2.42.4 DDSDDS 方案選擇方案選擇方案一:可以使用方案一:可以使用 DDS 信號產(chǎn)生器芯片信號產(chǎn)生器芯片使用使用 AD9850 是是 ADI 公司生產(chǎn)的一種公司生產(chǎn)的一種 DDS 信號產(chǎn)生芯片,它的功能方框信號產(chǎn)生芯片,它的功能方框圖如圖圖如圖 2-2,它把,它把 DDS 技術(shù)和高速數(shù)字技術(shù)和高速數(shù)字/模擬轉(zhuǎn)換器結(jié)合在一起,形成一個全數(shù)模擬轉(zhuǎn)換器結(jié)合在一起,形成一個全數(shù)字化、可編程的信號產(chǎn)生器。在一個精確的

31、參考時鐘源的控制下,它可以產(chǎn)生字化、可編程的信號產(chǎn)生器。在一個精確的參考時鐘源的控制下,它可以產(chǎn)生一個頻譜較純、頻率一個頻譜較純、頻率/相位可編程的模擬正弦信號。這個正弦信號可以直接用作相位可編程的模擬正弦信號。這個正弦信號可以直接用作信號源,它可以通過信號源,它可以通過 AD9850 芯片內(nèi)部的比較器轉(zhuǎn)換成方波信號輸出。芯片內(nèi)部的比較器轉(zhuǎn)換成方波信號輸出。中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書圖 2-2 AD9850 的功能方框圖AD9850 的頻率控制字為的頻率控制字為 32 位;相位控制字位;相位控制字 5 位;時鐘最高頻率為位;時鐘最高頻率為125MHZ,此時最高輸出頻率為,此時最高輸出

32、頻率為 62.5MHZ。圖 2-3 AD9850 管腳排列圖AD9850 的工作電壓為的工作電壓為 3.3V5.0V。它的封轉(zhuǎn)采用。它的封轉(zhuǎn)采用 SSOP 的的 28 引腳形式,引腳形式,不能使用萬用板組成電路,必需專門制作印制電路板。不能使用萬用板組成電路,必需專門制作印制電路板。它的管腳排列如圖它的管腳排列如圖 2-3 所示,使用所示,使用 DDS 信號產(chǎn)生器芯片的最大優(yōu)點是輸出信號產(chǎn)生器芯片的最大優(yōu)點是輸出信號頻率高,缺點是用戶不能修改輸出信號波形。信號頻率高,缺點是用戶不能修改輸出信號波形。方案二:利用微處理器實現(xiàn)方案二:利用微處理器實現(xiàn) DDS 信號產(chǎn)生器信號產(chǎn)生器在參考時鐘的作用下

33、,微處理器先進(jìn)行相位累加,再從數(shù)據(jù)表中讀出相位在參考時鐘的作用下,微處理器先進(jìn)行相位累加,再從數(shù)據(jù)表中讀出相位累加器輸出相位值對應(yīng)的幅度數(shù)據(jù),再通過數(shù)字累加器輸出相位值對應(yīng)的幅度數(shù)據(jù),再通過數(shù)字/模擬轉(zhuǎn)換器將該數(shù)據(jù)轉(zhuǎn)換成所模擬轉(zhuǎn)換器將該數(shù)據(jù)轉(zhuǎn)換成所需的模擬信號波形輸出。以正弦波為例,正弦信號波形數(shù)據(jù)表包含正弦信號一需的模擬信號波形輸出。以正弦波為例,正弦信號波形數(shù)據(jù)表包含正弦信號一個周期的幅度。個周期的幅度。輸出信號的頻率分辨率輸出信號的頻率分辨率為為0f=/ (2-3)0fCfN2這里這里是完成相位累加的頻率,是完成相位累加的頻率,N 是相位累加器的位數(shù)。是相位累加器的位數(shù)。Cf完成累加器的

34、位數(shù)越多,輸出信號的頻率分辨率越好,也就是輸出信號的完成累加器的位數(shù)越多,輸出信號的頻率分辨率越好,也就是輸出信號的頻率可以被調(diào)整的越準(zhǔn)確。如果使用的微處理器數(shù)據(jù)位數(shù)低于相位累加器的位頻率可以被調(diào)整的越準(zhǔn)確。如果使用的微處理器數(shù)據(jù)位數(shù)低于相位累加器的位數(shù),這時可以通過多次運算來完成相位累加。例如,使用數(shù),這時可以通過多次運算來完成相位累加。例如,使用 8 位數(shù)據(jù)位數(shù)的位數(shù)據(jù)位數(shù)的中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書MCS51 單片機實現(xiàn)單片機實現(xiàn) 32 位累加器,這時可以通過位累加器,這時可以通過 4 次加法運算完成相位累加,次加法運算完成相位累加,每次完成每次完成 8 位數(shù)據(jù)的加法運算,高字

35、節(jié)的加法運算還應(yīng)考慮低字節(jié)的進(jìn)位。位數(shù)據(jù)的加法運算,高字節(jié)的加法運算還應(yīng)考慮低字節(jié)的進(jìn)位。如果希望產(chǎn)生的輸出信號為正弦波信號,由如果希望產(chǎn)生的輸出信號為正弦波信號,由 NYQUIST 定律可知這時輸出定律可知這時輸出信號的最高頻率信號的最高頻率為為maxOf=/2 (2-4)maxOfCf式(式(2-4)中,)中,是完成相位累加的頻率。是完成相位累加的頻率。Cf利用微處理器完成加法運算需要讀取數(shù)據(jù)、進(jìn)行運算、再把運算結(jié)果送到利用微處理器完成加法運算需要讀取數(shù)據(jù)、進(jìn)行運算、再把運算結(jié)果送到目標(biāo)地址。由于微處理器工作的順序性,因此這時的相位累加頻率將比微處理目標(biāo)地址。由于微處理器工作的順序性,因此

36、這時的相位累加頻率將比微處理器的時鐘頻率低得多。這種情況在微處理器數(shù)據(jù)位數(shù)低于相位累加器的位數(shù)時器的時鐘頻率低得多。這種情況在微處理器數(shù)據(jù)位數(shù)低于相位累加器的位數(shù)時更加嚴(yán)重,因此這時需要多次運算才能完成相位累加。更加嚴(yán)重,因此這時需要多次運算才能完成相位累加。綜上所述,從原理來說,利用微處理器能夠?qū)崿F(xiàn)綜上所述,從原理來說,利用微處理器能夠?qū)崿F(xiàn) DDS 技術(shù)的電路結(jié)構(gòu),并技術(shù)的電路結(jié)構(gòu),并能產(chǎn)生所需要的任意波形,但是輸出信號的最高頻率受到很大的限制。使用具能產(chǎn)生所需要的任意波形,但是輸出信號的最高頻率受到很大的限制。使用具有高數(shù)據(jù)位數(shù)和高時鐘頻率的微處理器在一定程度上可以克服這個缺點,但這有高數(shù)

37、據(jù)位數(shù)和高時鐘頻率的微處理器在一定程度上可以克服這個缺點,但這將導(dǎo)致成本提高。將導(dǎo)致成本提高。方案三:利用可編程邏輯器件實現(xiàn)方案三:利用可編程邏輯器件實現(xiàn) DDS 信號產(chǎn)生器信號產(chǎn)生器DDS 技術(shù)的實現(xiàn)依賴于高速、高性能的數(shù)字器件??删幊唐骷云渌俣雀?、技術(shù)的實現(xiàn)依賴于高速、高性能的數(shù)字器件??删幊唐骷云渌俣雀?、規(guī)模大、可編程、以及有強大規(guī)模大、可編程、以及有強大 EDA 軟件支持等特性,十分適合實現(xiàn)軟件支持等特性,十分適合實現(xiàn) DDS 技術(shù)。技術(shù)。微處理器程序執(zhí)行順序性限制了它的工作速度,專用芯片不能修改波形,而利微處理器程序執(zhí)行順序性限制了它的工作速度,專用芯片不能修改波形,而利用可編程

38、邏輯器件則可以根據(jù)需要方便地實現(xiàn)各種比較復(fù)雜的調(diào)頻、調(diào)相和調(diào)用可編程邏輯器件則可以根據(jù)需要方便地實現(xiàn)各種比較復(fù)雜的調(diào)頻、調(diào)相和調(diào)幅功能,具有良好的實用性。由于可編程邏輯器件獨特的結(jié)構(gòu),有很多并發(fā)執(zhí)幅功能,具有良好的實用性。由于可編程邏輯器件獨特的結(jié)構(gòu),有很多并發(fā)執(zhí)行的語句,語句的執(zhí)行不像普通軟件那樣每條指令占用一定的時間,它只是遵行的語句,語句的執(zhí)行不像普通軟件那樣每條指令占用一定的時間,它只是遵循硬件電路自身的延遲時間。可編程邏輯器件的工作能力使它在需要高速工作循硬件電路自身的延遲時間。可編程邏輯器件的工作能力使它在需要高速工作的場合非常適用。的場合非常適用。綜上所述,選用方案三基于可編程邏

39、輯器件來實現(xiàn)綜上所述,選用方案三基于可編程邏輯器件來實現(xiàn) DDS 信號產(chǎn)生器。信號產(chǎn)生器。中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書3 3 CPLDCPLD 及開發(fā)語言簡介及開發(fā)語言簡介3.13.1 CPLDCPLD 簡介簡介CPLD 即復(fù)雜可編程邏輯器件,是從即復(fù)雜可編程邏輯器件,是從 PAL(PROGRAMMABLE ARRAY LOGIC)和)和 GAL(GENERIC ARRAY LOGIC)器件發(fā)展出來的器件,相對而言器件發(fā)展出來的器件,相對而言規(guī)模大,結(jié)構(gòu)復(fù)雜,屬于大規(guī)模集成電路范圍。是一種用戶根據(jù)各自需要而自規(guī)模大,結(jié)構(gòu)復(fù)雜,屬于大規(guī)模集成電路范圍。

40、是一種用戶根據(jù)各自需要而自行構(gòu)造邏輯功能的數(shù)字集成電路。其基本設(shè)計方法是借助集成開發(fā)軟件平臺,行構(gòu)造邏輯功能的數(shù)字集成電路。其基本設(shè)計方法是借助集成開發(fā)軟件平臺,用原理圖、硬件描述語言等方法,生成相應(yīng)的目標(biāo)文件,通過下載電纜(用原理圖、硬件描述語言等方法,生成相應(yīng)的目標(biāo)文件,通過下載電纜(“在系在系統(tǒng)統(tǒng)”編程)將代碼傳送到目標(biāo)芯片中,實現(xiàn)設(shè)計的數(shù)字系統(tǒng)。編程)將代碼傳送到目標(biāo)芯片中,實現(xiàn)設(shè)計的數(shù)字系統(tǒng)。主要的主要的 PLD 生產(chǎn)廠家:生產(chǎn)廠家:ALTERA:可編程邏輯器件最大的供應(yīng)商之一。主要產(chǎn)品有:可編程邏輯器件最大的供應(yīng)商之一。主要產(chǎn)品有:MAX3000/7000、FLEX10K、APEX

41、20K、ACEX1K、STRATIX、CYCLONE等。開發(fā)軟件有等。開發(fā)軟件有 MAX+PLUS II 和和 QUARTUS II。XILINX:FPGA(FIELD PROGRAMMABLE GATE ARRAY)發(fā)明者,)發(fā)明者,可編程邏輯器件最大的供應(yīng)商之一。產(chǎn)品種類較全,主要有:可編程邏輯器件最大的供應(yīng)商之一。產(chǎn)品種類較全,主要有:XC 9500/4000、COOLRUNNER、SPARTAN、VIRTEX 等。開發(fā)軟件為等。開發(fā)軟件為FOUNDITION 和和 ISE。LATTICE:ISP(IN-SYSTEM PROGRAMMING)技術(shù)發(fā)明者。該技術(shù))技術(shù)發(fā)明者。該技術(shù)指電路板

42、上的空白器件可以編程寫入最終用戶代碼,而不需要從電路板上取下指電路板上的空白器件可以編程寫入最終用戶代碼,而不需要從電路板上取下器件,已經(jīng)編程的器件也可以用器件,已經(jīng)編程的器件也可以用 ISP 方式擦除或再編程。比起前兩家公司,其方式擦除或再編程。比起前兩家公司,其在開發(fā)工具上略遜一籌,中小規(guī)模在開發(fā)工具上略遜一籌,中小規(guī)模 PLD 比較有特色。主要產(chǎn)品有:比較有特色。主要產(chǎn)品有:ISPLSI 2000/5000/8000、MACH4/5、ISPMACH4000 等。等。ACTEL:全球四大知名:全球四大知名 FPGA 生產(chǎn)廠商之一。成立于生產(chǎn)廠商之一。成立于 1985 年美國紐約,年美國紐約

43、,之前的之前的 20 年里,一直效力于美國軍工和航空領(lǐng)域,并禁止對外出售。國內(nèi)一些年里,一直效力于美國軍工和航空領(lǐng)域,并禁止對外出售。國內(nèi)一些特殊領(lǐng)域的企業(yè)都是采用其它途徑購買軍工級型號。目前特殊領(lǐng)域的企業(yè)都是采用其它途徑購買軍工級型號。目前 ACTEL 開始逐漸轉(zhuǎn)開始逐漸轉(zhuǎn)向民用和商用,除了反熔絲系列外,還推出可重復(fù)擦除的向民用和商用,除了反熔絲系列外,還推出可重復(fù)擦除的 PROASIC3 系列系列(針針對汽車、工業(yè)控制、軍事航空行業(yè)對汽車、工業(yè)控制、軍事航空行業(yè))。該公司致力通過運用業(yè)界最低功耗的。該公司致力通過運用業(yè)界最低功耗的FPGA 系列,以及獨有的混合信號系列,以及獨有的混合信號

44、FPGA,在芯片及系統(tǒng)級上進(jìn)行功耗管理,在芯片及系統(tǒng)級上進(jìn)行功耗管理,中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書為系統(tǒng)設(shè)計人員提高競爭優(yōu)勢。這就好比單片機中的為系統(tǒng)設(shè)計人員提高競爭優(yōu)勢。這就好比單片機中的 PIC 系列,雖然資源不多,系列,雖然資源不多,但是穩(wěn)定性可靠,廣泛應(yīng)用于工業(yè)領(lǐng)域。但是穩(wěn)定性可靠,廣泛應(yīng)用于工業(yè)領(lǐng)域。在亞太地區(qū)用在亞太地區(qū)用 ALTERA 人多,在歐洲用人多,在歐洲用 XILINX 的人多,在美國則是平的人多,在美國則是平分秋色。全球分秋色。全球 PLD/FPGA 產(chǎn)品的產(chǎn)品的 60%以上是以上是 ALTERA 和和 XILINX 提供的??商峁┑?。可以說,以說,ALTERA

45、 和和 XILINX 共同決定了共同決定了 PLD 技術(shù)的發(fā)展方向。當(dāng)然還有許多技術(shù)的發(fā)展方向。當(dāng)然還有許多其他類型的器件,如:其他類型的器件,如:LATTICE、VANTIS、ACTEL、QUICKLOGIC、LUCENT 等。等。3.23.2 開發(fā)語言和開發(fā)環(huán)境的選擇開發(fā)語言和開發(fā)環(huán)境的選擇硬件描述語言(硬件描述語言(HARDWARE DESCRIPTION LANGUAGE,HDL)是描)是描述硬件電路的功能。常用的硬件描述語言有述硬件電路的功能。常用的硬件描述語言有 VHDL、VERILOG HDL 和和ABEL。本次畢業(yè)設(shè)計針對。本次畢業(yè)設(shè)計針對 CPLD 的開發(fā)有兩種語言可以選擇,

46、我們選擇了的開發(fā)有兩種語言可以選擇,我們選擇了VHDL,下面是對,下面是對 VHDL 語言的簡介。語言的簡介。至于開發(fā)環(huán)境,開發(fā)至于開發(fā)環(huán)境,開發(fā) ALTERA 的的 CPLD 的開發(fā)環(huán)境有的開發(fā)環(huán)境有 MAX+PLUXII 和和QUARTUS II。剛開始學(xué)習(xí)時由于。剛開始學(xué)習(xí)時由于 MAX+PLUX II 的安裝程序比較小,界面簡的安裝程序比較小,界面簡潔,入門容易,所以使用了潔,入門容易,所以使用了 MAX+PLUX II,可是等到后來接觸了,可是等到后來接觸了 QUARTUS II,由于后者的功能更加強大,界面更加人性化,而且現(xiàn)在絕大多數(shù)人使用的,由于后者的功能更加強大,界面更加人性化

47、,而且現(xiàn)在絕大多數(shù)人使用的是是 QUARTUS II,為了便于以后,為了便于以后 FPGA 的學(xué)習(xí),因為的學(xué)習(xí),因為 FPGA 也是使用相同的也是使用相同的環(huán)境,所以選擇環(huán)境,所以選擇 QUARTUS II。3.2.1 VHDL 語言簡介VHDL 英文全名英文全名 VHSIC(超高速集成電路硬件描述語言)(超高速集成電路硬件描述語言) 。它誕生于。它誕生于 1982年美國國防部提出的超高速集成電路計劃,其目的是在各個承擔(dān)國防部訂貨的年美國國防部提出的超高速集成電路計劃,其目的是在各個承擔(dān)國防部訂貨的集成電路廠商之間建立一個統(tǒng)一的設(shè)計數(shù)據(jù)和文檔交換格式。集成電路廠商之間建立一個統(tǒng)一的設(shè)計數(shù)據(jù)和文

48、檔交換格式。1987 年被年被IEEE(INSTITUTE OF ELECTRICAL AND ELECTRONICS ENGINEERS,美國電氣和電子工程師協(xié)會)和美國國防部確認(rèn)為標(biāo)準(zhǔn)硬件描述語言。自從美國電氣和電子工程師協(xié)會)和美國國防部確認(rèn)為標(biāo)準(zhǔn)硬件描述語言。自從IEEE 公布了公布了 VHDL 的標(biāo)準(zhǔn)版(的標(biāo)準(zhǔn)版(IEEE 1076)以后,各)以后,各 EDA(ELECTRONIC DESIGN AUTOMATION,電子設(shè)計自動化)公司相繼推出,電子設(shè)計自動化)公司相繼推出 VHDL 設(shè)計環(huán)境,設(shè)計環(huán)境,或宣布自己的設(shè)計工具可以和或宣布自己的設(shè)計工具可以和 VHDL 接口。此后,接

49、口。此后,VHDL 在電子設(shè)計領(lǐng)域得到在電子設(shè)計領(lǐng)域得到了廣泛的認(rèn)可,并逐步取代了原有的非標(biāo)準(zhǔn)硬件描述語言。了廣泛的認(rèn)可,并逐步取代了原有的非標(biāo)準(zhǔn)硬件描述語言。1995 年,我國國家年,我國國家中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書技術(shù)監(jiān)督局制定規(guī)范推薦技術(shù)監(jiān)督局制定規(guī)范推薦 VHDL 作為我國電子設(shè)計自動化硬件語言的國家規(guī)范。作為我國電子設(shè)計自動化硬件語言的國家規(guī)范?,F(xiàn)在,現(xiàn)在,VHDL 和和 VERILOG 作為作為 IEEE 的工業(yè)標(biāo)準(zhǔn)硬件描述語言,得到了眾多的工業(yè)標(biāo)準(zhǔn)硬件描述語言,得到了眾多EDA 公司的支持,在電子工程領(lǐng)域,已成為事實上的通用語言標(biāo)準(zhǔn)。公司的支持,在電子工程領(lǐng)域,已成為

50、事實上的通用語言標(biāo)準(zhǔn)。VHDL 語言描述能力非常強,它比其它語言描述能力非常強,它比其它 HDL 語言有更高層次的描述。甚語言有更高層次的描述。甚至有時在描述過程中只有算法的描述,而無硬件的形跡。因此至有時在描述過程中只有算法的描述,而無硬件的形跡。因此,VHDL 語言在語言在CPLD/FPGA 的應(yīng)用方面較為廣泛,一個復(fù)雜的問題,的應(yīng)用方面較為廣泛,一個復(fù)雜的問題,VHDL 語言可以用比較語言可以用比較少的篇幅描述清楚。同時少的篇幅描述清楚。同時 VHDL 語言指令代碼多,數(shù)據(jù)類型豐富,既有用于仿語言指令代碼多,數(shù)據(jù)類型豐富,既有用于仿真系統(tǒng)的指令真系統(tǒng)的指令,又有可用于綜合的語句。因此又有

51、可用于綜合的語句。因此 VHDL 語言使用起來非常靈活語言使用起來非常靈活,可可以說在幾種硬件描述語言中以說在幾種硬件描述語言中,VHDL 語言是描述能力最強的一種語言。但同時由語言是描述能力最強的一種語言。但同時由于其較強的靈活性于其較強的靈活性,繁多的指令碼和較強的數(shù)據(jù)類型繁多的指令碼和較強的數(shù)據(jù)類型,因此因此 VHDL 語言反而成為語言反而成為學(xué)習(xí)使用的難點。學(xué)習(xí)使用的難點。VHDL 語言還有很多特點,具體可以參考相關(guān)的語言還有很多特點,具體可以參考相關(guān)的 VHDL 書籍或上網(wǎng),非常書籍或上網(wǎng),非常容易找到。容易找到。中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書中北大學(xué) 2012 屆畢業(yè)設(shè)計說明

52、書4 4 多功能信號發(fā)生器硬件電路設(shè)計多功能信號發(fā)生器硬件電路設(shè)計4.14.1 整體電路設(shè)計分析整體電路設(shè)計分析此次畢業(yè)設(shè)計硬件電路主要包括三個部分,分別是單片機電路、此次畢業(yè)設(shè)計硬件電路主要包括三個部分,分別是單片機電路、CPLD 電電路、電源電路。其中單片機電路又包括單片機最小系統(tǒng)、按鍵電路、顯示電路、路、電源電路。其中單片機電路又包括單片機最小系統(tǒng)、按鍵電路、顯示電路、幅度控制電路、波形生成電路、低通濾波電路。幅度控制電路、波形生成電路、低通濾波電路。單片機電路負(fù)責(zé)給單片機電路負(fù)責(zé)給 CPLD 輸入相應(yīng)的信號和進(jìn)行相應(yīng)信息的顯示,比如波輸入相應(yīng)的信號和進(jìn)行相應(yīng)信息的顯示,比如波形幅度信息

53、和波形名稱顯示等。形幅度信息和波形名稱顯示等。CPLD 電路主要負(fù)責(zé)產(chǎn)生波形的數(shù)字量,經(jīng)過電路主要負(fù)責(zé)產(chǎn)生波形的數(shù)字量,經(jīng)過數(shù)模轉(zhuǎn)換器轉(zhuǎn)化成相應(yīng)的模擬信號。電源電路給整個波形發(fā)生器提供電源。數(shù)模轉(zhuǎn)換器轉(zhuǎn)化成相應(yīng)的模擬信號。電源電路給整個波形發(fā)生器提供電源。下面下面 4.2 到到 4.7 屬于單片機電路部分,屬于單片機電路部分,4.8 介紹介紹 CPLD 電路,電路,4.9 為電源電為電源電路部分。路部分。4.24.2 單片機最小系統(tǒng)設(shè)計單片機最小系統(tǒng)設(shè)計4.2.1 單片機選型由于單片機主要負(fù)責(zé)給由于單片機主要負(fù)責(zé)給 CPLD 不同的信號(包括不同的信號(包括 CPLD 中六選一數(shù)據(jù)選擇中六選一

54、數(shù)據(jù)選擇器信號、頻率和占空比調(diào)節(jié)信號)器信號、頻率和占空比調(diào)節(jié)信號) 、控制信號幅度、顯示相應(yīng)數(shù)據(jù),波形數(shù)據(jù)由、控制信號幅度、顯示相應(yīng)數(shù)據(jù),波形數(shù)據(jù)由CPLD 產(chǎn)生,單片機工作在相對低速情況下,所以選用一般的產(chǎn)生,單片機工作在相對低速情況下,所以選用一般的 51 系列單片機系列單片機即可。考慮國產(chǎn)臺灣宏晶公司生產(chǎn)單片機中即可??紤]國產(chǎn)臺灣宏晶公司生產(chǎn)單片機中 STC51 系列單片機有以下特點:系列單片機有以下特點:(1)加密性強)加密性強(2)超強抗干擾)超強抗干擾:高抗靜電。高抗靜電。輕松過輕松過 2KV/4KV 快速脈沖干擾??焖倜}沖干擾。寬電壓,不怕電源抖動。寬電壓,不怕電源抖動。寬溫度

55、范圍,寬溫度范圍,-4085。(3)三大降低單片機時鐘對外部電磁輻射的措施)三大降低單片機時鐘對外部電磁輻射的措施禁止禁止 ALE 輸出。輸出。中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書如選如選 6 時鐘時鐘/機器周期,外部時鐘頻率可降一半。機器周期,外部時鐘頻率可降一半。單片機時鐘振蕩器增益可設(shè)為單片機時鐘振蕩器增益可設(shè)為 1/2GAIN。(4)超低功耗)超低功耗掉電模式:典型功耗掉電模式:典型功耗 0.1A。正常工作模式:典型功耗正常工作模式:典型功耗 4MA7MA。掉電模式可由外部中斷喚醒。掉電模式可由外部中斷喚醒。(5)在系統(tǒng)可編程,無需編程器,無需仿真器。)在系統(tǒng)可編程,無需編程器,無需仿

56、真器。基于以上因素,選用基于以上因素,選用 STC89C52RC 單片機作為單片機控制電路的主控芯單片機作為單片機控制電路的主控芯片。片。4.2.2 STC89C52RC 單片機簡介(1)增強型)增強型 6 時鐘時鐘/機器周期、機器周期、12 時鐘時鐘/機器周期任意設(shè)置。機器周期任意設(shè)置。(2)工作電壓:)工作電壓:5.53.4V。(3)工作頻率:)工作頻率:040MHZ,相當(dāng)于普通,相當(dāng)于普通 8051 單片機;實際使用范圍為單片機;實際使用范圍為080MHZ。(4)8KB 片內(nèi)片內(nèi) FLASH 程序存儲器,擦寫次數(shù)程序存儲器,擦寫次數(shù) 10 萬次以上。萬次以上。(5)片上集成)片上集成 5

57、12B RAM 數(shù)據(jù)存儲器。數(shù)據(jù)存儲器。(6)通用)通用 I/O 口(口(32 個)個) ,復(fù)位后為:,復(fù)位后為: P1、P2、 P3、P4 是弱上拉是弱上拉/準(zhǔn)雙準(zhǔn)雙向口(與普通向口(與普通 MCS-51 I/O 口功能一樣)口功能一樣) ;P0 口是開漏輸出口,作為總線擴展口是開漏輸出口,作為總線擴展時用,不用加上拉電阻;時用,不用加上拉電阻;P0 口作為口作為 I/O 口用時,需加上拉電阻。口用時,需加上拉電阻。(7)ISP 在系統(tǒng)可編程,無需專用編程器在系統(tǒng)可編程,無需專用編程器/仿真器,可通過串口(仿真器,可通過串口(P30/ P31)直接下載用戶程序,)直接下載用戶程序,8KB 程

58、序程序 3S 即可完成一片。即可完成一片。(8)芯片內(nèi)置)芯片內(nèi)置 EEPROM 功能。功能。(9)硬件看門狗()硬件看門狗(WDT) 。(10)共)共 3 個個 16 位定時器位定時器/計數(shù)器,兼容普通計數(shù)器,兼容普通 MCS-51 單片機的定時器,單片機的定時器,其中定時器其中定時器 T0 還可以當(dāng)成還可以當(dāng)成 2 個個 8 位定時器使用。位定時器使用。 中北大學(xué) 2012 屆畢業(yè)設(shè)計說明書(11)外部中斷)外部中斷 4 路,下降沿中斷或低電平觸發(fā)中斷,掉電模式可由外部路,下降沿中斷或低電平觸發(fā)中斷,掉電模式可由外部中斷喚醒。中斷喚醒。(12)全雙工異步串行口()全雙工異步串行口(UART

59、) ,兼容普通,兼容普通 8051 單片機的串口。單片機的串口。(13)工作溫度范圍:)工作溫度范圍:070。4.2.3 單片機最小系統(tǒng)單片機最小系統(tǒng)負(fù)責(zé)鍵盤的輸入掃描,對不同鍵值進(jìn)行處理,并給單片機最小系統(tǒng)負(fù)責(zé)鍵盤的輸入掃描,對不同鍵值進(jìn)行處理,并給 CPLD不同的數(shù)據(jù)信號,同時使用不同的數(shù)據(jù)信號,同時使用 LCD 進(jìn)行相應(yīng)的顯示。而且它還擔(dān)任著調(diào)幅的功進(jìn)行相應(yīng)的顯示。而且它還擔(dān)任著調(diào)幅的功能。最小系統(tǒng)見圖能。最小系統(tǒng)見圖 4-1。串口通信電路接口分別接單片機串口通信電路接口分別接單片機 P30、P31 口進(jìn)行串口通信。在這里主口進(jìn)行串口通信。在這里主要用于要用于 STC 單片機下載程序,因

60、為單片機下載程序,因為 STC 單片機出廠時都自帶單片機出廠時都自帶 ISP 系統(tǒng)引導(dǎo)碼,系統(tǒng)引導(dǎo)碼,所以使用起來比所以使用起來比 AT 系列的單片機方便,不必使用并口下載,而且現(xiàn)在大部分系列的單片機方便,不必使用并口下載,而且現(xiàn)在大部分電腦沒有并口。工作電源指示電路,方便調(diào)試使用。電腦沒有并口。工作電源指示電路,方便調(diào)試使用。圖 4-1 單片機最小系統(tǒng)此次選用單片機第此次選用單片機第 9 引腳為復(fù)位引腳,不同的單片機復(fù)位電平也不相同,引腳為復(fù)位引腳,不同的單片機復(fù)位電平也不相同,比如普通的比如普通的 AT89C51 是高電平復(fù)位,是高電平復(fù)位,AVR 單片機一般是低電平復(fù)位,單片機一般是低電

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論