基于單片機(jī)的紅外遙控電子密碼鎖設(shè)計(jì)(電路圖及源程序)_第1頁(yè)
基于單片機(jī)的紅外遙控電子密碼鎖設(shè)計(jì)(電路圖及源程序)_第2頁(yè)
基于單片機(jī)的紅外遙控電子密碼鎖設(shè)計(jì)(電路圖及源程序)_第3頁(yè)
基于單片機(jī)的紅外遙控電子密碼鎖設(shè)計(jì)(電路圖及源程序)_第4頁(yè)
基于單片機(jī)的紅外遙控電子密碼鎖設(shè)計(jì)(電路圖及源程序)_第5頁(yè)
已閱讀5頁(yè),還剩27頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、紅外遙控畢業(yè)設(shè)計(jì)目 錄摘 要1關(guān)鍵詞1Abstract1Key words11 緒 論21.1 概述21.1.1設(shè)計(jì)目的21.1.2設(shè)計(jì)思想21.1.3設(shè)計(jì)功能21.3電子密碼鎖的發(fā)展趨勢(shì)32 電子密碼鎖的兩種設(shè)計(jì)方案簡(jiǎn)介32.1由數(shù)字電路及芯片構(gòu)建32.2采用以單片機(jī)為核心的控制方案33 主要元器件介紹43.1 主控芯片AT89S5143.1.1 AT89S51性能簡(jiǎn)介43.1.2 AT89S51引腳功能說(shuō)明53.1.3 AT89S51芯片內(nèi)部結(jié)構(gòu)63.2 共陽(yáng)七段數(shù)碼管LED83.3 晶體振蕩器104系統(tǒng)硬件設(shè)計(jì)及其原理104.1 單片機(jī)串行通信原理104.2 遙控發(fā)射電路設(shè)計(jì)及紅外遙控原

2、理124.3 本機(jī)鍵開鎖設(shè)計(jì)及工作原理134.4 遙控開鎖的工作原理154.5 按鍵的設(shè)計(jì)原理155 系統(tǒng)軟件設(shè)計(jì)155.1 軟件設(shè)計(jì)概述155.2 程序流程圖156 結(jié)論17參考文獻(xiàn)17附錄:18附錄1 電路原理圖18附錄2 源程序代碼19基于單片機(jī)的紅外遙控電子密碼鎖設(shè)計(jì)摘 要:隨著電子產(chǎn)品向智能化和微型化的不斷發(fā)展,單片機(jī)已成為電子產(chǎn)品研制和開發(fā)中首選的控制器。隨著人們生活水平的提高,如何實(shí)現(xiàn)家庭防盜這一問題也變的尤其的突出,傳統(tǒng)的機(jī)械鎖由于其構(gòu)造的簡(jiǎn)單,安全性能低,無(wú)法滿足人們的需要。單片機(jī)原理及應(yīng)用是一門實(shí)踐性很強(qiáng)的課程;其課程設(shè)計(jì)目的在于綜合運(yùn)用所學(xué)知識(shí),全面掌握單片微型計(jì)算機(jī)及其

3、接口的工作原理、編程和使用方法;在設(shè)計(jì)中,提出并論證設(shè)計(jì)方案,進(jìn)行軟、硬件調(diào)試,最后獲得正確的結(jié)果,可以加深和鞏固對(duì)理論知識(shí)的更好掌握,進(jìn)一步建立計(jì)算機(jī)應(yīng)用系統(tǒng)體概念,初步掌握單片機(jī)軟、硬件開發(fā)方法,為以后進(jìn)行實(shí)際的單片機(jī)軟、硬件應(yīng)用開發(fā)奠定良好的基礎(chǔ)。本設(shè)計(jì)采用AT89S51單片機(jī)來(lái)作為主控芯片與數(shù)據(jù)存儲(chǔ)器單元,結(jié)合外圍的鍵盤輸入、LED顯示、報(bào)警、開鎖等電路,用匯編語(yǔ)言編寫主控芯片的控制程序,設(shè)計(jì)了一款可以通過(guò)紅外遙控開鎖且具有報(bào)警功能的電子密碼鎖。關(guān)鍵詞:密碼鎖;單片機(jī);紅外遙控;報(bào)警The Design of Electronic Cipher Lock by Infrared Rem

4、ote Control Based on SCMAbstract:As electronic products are developing towards intelligence and minimization, singlechip computers (SCM ) have become the first choice for controllers in the development of electronic products. With the improvement of people's living standards, it has become parti

5、cularly prominent about how to achieve Anti-theft family. The traditional mechanical lock has such shortcoming as simple structure , Low security, it can't meet people's demands.Microcontroller Theory and Applications is a very practical course; its curriculum is designed to make comprehensi

6、ve use of what they have learned, have complete control of single-chip micro-computer and its interface works, programming and use; in the design, propose and demonstrate design, to software and hardware debugging, finally get the correct results, you can deepen and consolidate a better grasp of the

7、 theoretical knowledge to further establish the concept of computer application system body, a preliminary master microcomputer hardware and software development methods for the future actual MCU hardware and software application development has laid a good foundation.For practicality, this paper de

8、signs a new electronic cipher lock, which has the function of alarm and whose cipher can be controled by infrared remote. This cipher lock uses AT89S51 SCM as main chip and data memory cell . The author made this ciphor lock by use of making controlled program of master SCM with periphery electrocir

9、cuit of keyboard input,LED display, alarm and unlock.Key words:cipher lock;single chip computer;infrared remote control;alarm1 緒 論1.1 概述目前國(guó)內(nèi)外密碼鎖系統(tǒng)的主要方向的發(fā)展是:接觸式密碼鎖系統(tǒng),非接觸式密碼鎖系統(tǒng),智能識(shí)別密碼鎖系統(tǒng),但是他們都相應(yīng)的存在著不同的缺點(diǎn)。例如:接觸式密碼鎖系統(tǒng)成本較低,體積小,卡片本身無(wú)須電源,但使用不太方便,而且有接觸磨損。相比之下,紅外遙控密碼鎖系統(tǒng)的成本與接觸式密碼鎖系統(tǒng)相當(dāng),而且可以進(jìn)行近距離遙控,使用十分方便。由于紅外遙

10、控具有許多優(yōu)點(diǎn),例如紅外線發(fā)射裝置采用紅外發(fā)光二極管,遙控發(fā)射器易于小型化且價(jià)格低廉;采用數(shù)字信號(hào)編碼和二次調(diào)制方式,不僅可以實(shí)現(xiàn)多路信息的控制,增加遙控功能,提高信號(hào)傳輸?shù)目垢蓴_性,減少誤動(dòng)作,而且功率消耗低;紅外線不會(huì)向室外泄露,不會(huì)產(chǎn)生信號(hào)串?dāng)_;反應(yīng)速度快、傳輸效率高、工作穩(wěn)定可靠等。工業(yè)設(shè)備中,在高壓、輻射、有毒氣體、粉塵等環(huán)境下,采用紅外線遙控不僅完全可靠而且能有效地隔離電氣干擾。所以紅外線遙控是目前使用最廣泛的一種通信和遙控手段。1.1.1設(shè)計(jì)目的通過(guò)對(duì)電子密碼鎖的設(shè)計(jì)、制造和調(diào)試,學(xué)會(huì)綜合應(yīng)用所學(xué)的電子技術(shù)知識(shí)進(jìn)行電子產(chǎn)品的設(shè)計(jì),并在設(shè)計(jì)、制造和調(diào)試過(guò)程中初步掌握電子產(chǎn)品的生產(chǎn)工

11、藝流程和相關(guān)技能。對(duì)所學(xué)知識(shí)有更進(jìn)一步的理解,更培養(yǎng)動(dòng)手的能力,使得知識(shí)與實(shí)踐相結(jié)合。1.1.2設(shè)計(jì)思想本系統(tǒng)采用單片機(jī)AT89S51作為本設(shè)計(jì)的核心元件,利用紅外線遙控原理和單片機(jī)串行發(fā)射、接收等功能而設(shè)計(jì)的一款具有本機(jī)開鎖且能遙控開鎖和自動(dòng)報(bào)警功能的電子密碼鎖。1.1.3設(shè)計(jì)功能 董繼成.一種新型安全的單片機(jī)密碼鎖J.北京:電子技術(shù)出版社,2004年,03期:12頁(yè)1)選擇密碼將編好的密碼程序存儲(chǔ)在EPROM中,用戶通過(guò)密碼選擇鍵AT89C51中的P1.5口上的按鍵進(jìn)行選擇。具體操作過(guò)程如下:首先按一下P1.5口上的按鍵,然后再逐漸輸入號(hào)碼,最后按下確認(rèn)鍵“#”即可。2)密碼顯示為了幫助用

12、戶確認(rèn)是否有鍵按下,特在電路中設(shè)置了模擬顯示電路;而為了防止密碼外泄;顯示時(shí),并不是顯示用戶按下的數(shù)字符號(hào),而是以一個(gè)特定的字母符號(hào)提醒用戶是否有鍵按下。有鍵按下,就會(huì)顯示出字符“H”,沒鍵按下,則不會(huì)顯示字符。這樣既巧妙地提醒了用戶又保護(hù)了用戶密碼,此乃本設(shè)計(jì)可靠性優(yōu)點(diǎn)之一。3)本機(jī)鍵開鎖當(dāng)用戶鍵入正確密碼后,再按確認(rèn)鍵“#”號(hào),便會(huì)自動(dòng)開鎖。但用戶鍵入密碼時(shí)應(yīng)注意:數(shù)字與數(shù)字之間的間隔時(shí)間為3秒,例如密碼為57618,當(dāng)鍵入第一個(gè)數(shù)字5后應(yīng)在3秒內(nèi)鍵入第二個(gè)數(shù)字7,否則,就會(huì)視為無(wú)效。如果鍵入完密碼后不按確認(rèn)鍵系統(tǒng)會(huì)當(dāng)做放棄開鎖處理4)密碼錯(cuò)誤報(bào)警當(dāng)用戶鍵入錯(cuò)誤密碼時(shí),系統(tǒng)就會(huì)報(bào)警,由揚(yáng)聲

13、器發(fā)出5秒報(bào)警聲。當(dāng)連續(xù)三次出現(xiàn)密碼錯(cuò)誤時(shí),則系統(tǒng)會(huì)長(zhǎng)期報(bào)警不止。這時(shí)必須按復(fù)位方可停止。此乃安全可靠性之一。5)遙控開鎖當(dāng)此鎖用于私家車庫(kù)或倉(cāng)庫(kù)時(shí),用戶可以不用上車,只要手執(zhí)遙控器,鍵入正確密碼,便會(huì)自動(dòng)開鎖;如果密碼錯(cuò)誤,同樣也會(huì)報(bào)警。這是本設(shè)計(jì)優(yōu)越性能之三。1.2 電子密碼鎖簡(jiǎn)介 瞿貴榮.實(shí)用電子密碼鎖J.北京:家庭電子出版社,2000年,07期:15頁(yè)電子密碼鎖是一種通過(guò)密碼輸入來(lái)控制電路或是芯片工作,從而控制機(jī)械開關(guān)的閉合,完成開鎖、閉鎖任務(wù)的電子產(chǎn)品。它的種類很多,有簡(jiǎn)易的電路產(chǎn)品,也有基于芯片的性價(jià)比較高的產(chǎn)品。傳統(tǒng)的電子密碼鎖采用組合邏輯電路,但電路較復(fù)雜,可靠性差?,F(xiàn)在應(yīng)用較

14、廣的電子密碼鎖是以芯片為核心,通過(guò)單片機(jī)編程來(lái)實(shí)現(xiàn)的。其性能和安全性已大大超過(guò)了機(jī)械鎖。其特點(diǎn)如下:1) 保密性好,編碼量多,遠(yuǎn)遠(yuǎn)大于彈子鎖。隨機(jī)開鎖成功率幾乎為零。2) 密碼可變,用戶可以隨時(shí)更改密碼,防止密碼被盜,同時(shí)也可以避免因人員的更替而使鎖的密級(jí)下降。 3) 誤碼輸入保護(hù),當(dāng)輸入密碼多次錯(cuò)誤時(shí),報(bào)警系統(tǒng)自動(dòng)啟動(dòng)。4) 無(wú)活動(dòng)零件,不會(huì)磨損,壽命長(zhǎng)。5) 使用靈活性好,不像機(jī)械鎖必須佩帶鑰匙才能開鎖。6) 電子密碼鎖結(jié)構(gòu)簡(jiǎn)潔,故障率低,密碼輸入操作簡(jiǎn)單易行。7) 通用性強(qiáng),可根據(jù)需要安裝在不同的設(shè)備上。8) 硬件成本低廉,軟件簡(jiǎn)潔可靠,易于批量生產(chǎn)。1.3電子密碼鎖的發(fā)展趨勢(shì)在日常的生

15、活和工作中, 住宅與部門的安全防范、單位的文件檔案、財(cái)務(wù)報(bào)表以及一些個(gè)人資料的保存多以加鎖的辦法來(lái)解決。目前門鎖主要用彈子鎖,其鑰匙容易丟失;保險(xiǎn)箱主要用機(jī)械密碼鎖,其結(jié)構(gòu)較為復(fù)雜,制造精度要求高,成本高,且易出現(xiàn)故障,人們常需攜帶多把鑰匙, 使用極不方便, 且鑰匙丟失后安全性即大打折扣。隨著科學(xué)技術(shù)的不斷發(fā)展,人們對(duì)日常生活中的安全保險(xiǎn)的要求越來(lái)越高。為滿足人們對(duì)鎖的使用要求,增加其安全性,用密碼代替鑰匙的密碼鎖應(yīng)運(yùn)而生。密碼鎖具有安全性高、成本低、功耗低、易操作等優(yōu)點(diǎn)。 在安全技術(shù)防范領(lǐng)域,具有防盜報(bào)警功能的電子密碼鎖逐漸代替?zhèn)鹘y(tǒng)的機(jī)械式密碼鎖,克服了機(jī)械式密碼鎖密碼量少、安全性能差的缺點(diǎn)

16、,使密碼鎖無(wú)論在技術(shù)上還是在性能上都獲得了大大的提高。隨著大規(guī)模集成電路技術(shù)的發(fā)展,特別是單片機(jī)的問世,出現(xiàn)了帶微處理器的智能密碼鎖,它除具有電子密碼鎖的功能外,還引入了智能化管理、專家分析系統(tǒng)等功能,從而使密碼鎖具有很高的安全性、可靠性,應(yīng)用日益廣泛。 隨著人們對(duì)安全的重視和科技的發(fā)展,許多電子智能鎖(指紋識(shí)別、IC卡辨認(rèn))已在國(guó)內(nèi)外相繼面世。但是這些產(chǎn)品的特點(diǎn)是針對(duì)特定有效卡、指紋或聲音有效,且不能實(shí)現(xiàn)遠(yuǎn)程控制,只能適用于保密要求高且供個(gè)人要求高的箱、柜、門等。而且指紋識(shí)識(shí)別器若在公共場(chǎng)所使用存在容易機(jī)械損壞,IC卡還存在容易丟失、損壞等缺點(diǎn),再加上其成本較高,一定程度上限制了這類產(chǎn)品的普

17、及和推廣。鑒于目前的技術(shù)水平與市場(chǎng)的接受程度,電子密碼鎖是這類電子防盜產(chǎn)品的主流。2 電子密碼鎖的兩種設(shè)計(jì)方案簡(jiǎn)介2.1由數(shù)字電路及芯片構(gòu)建 康華光.電子技術(shù)基礎(chǔ)-數(shù)字部分M .北京:高等教育出版社,2005:218頁(yè)用以74LS112雙JK觸發(fā)器構(gòu)成的數(shù)字邏輯電路作為密碼鎖的核心控制,共設(shè)了9個(gè)用戶輸入鍵,其中只有4個(gè)是有效的密碼按鍵,其它的都是干擾按鍵,若按下干擾鍵,鍵盤輸入電路自動(dòng)清零,原先輸入的密碼無(wú)效,需要重新輸入;如果用戶輸入密碼的時(shí)間超過(guò)10秒(一般情況下,用戶不會(huì)超過(guò)10秒,若用戶覺得不便,還可以修改)電路將報(bào)警20秒,若電路連續(xù)報(bào)警三次,電路將鎖定鍵盤2分鐘,防止他人的非法操

18、作。采用數(shù)字電路設(shè)計(jì)的方案好處就是設(shè)計(jì)簡(jiǎn)單但控制的準(zhǔn)確性和靈活性差。故不采用。2.2采用以單片機(jī)為核心的控制方案由于單片機(jī)種類繁多,各種型號(hào)都有其一定的應(yīng)用環(huán)境,因此在選用時(shí)要多加比較,合理選擇,以期獲得最佳的性價(jià)比。一般來(lái)說(shuō)在選取單片機(jī)時(shí)從下面幾個(gè)方面考慮:性能、存儲(chǔ)器、運(yùn)行速度、I/O口、定時(shí)/計(jì)數(shù)器、串行接口、模擬電路功能、工作電壓、功耗、封裝形式、抗干擾性、保密性,除了以上的一些的還有一些最基本的比如:中斷源的數(shù)量和優(yōu)先級(jí)、工作溫度范圍、有沒有低電壓檢測(cè)功能、單片機(jī)內(nèi)有無(wú)時(shí)鐘振蕩器、有無(wú)上電復(fù)位功能等。在開發(fā)過(guò)程中單片機(jī)還受到:開發(fā)工具、編程器、開發(fā)成本、開發(fā)人員的適應(yīng)性、技術(shù)支持和服

19、務(wù)等等因素?;谝陨弦蛩乇驹O(shè)計(jì)選用單片機(jī)AT89S51作為本設(shè)計(jì)的核心元件,利用單片機(jī)靈活的編程設(shè)計(jì)和豐富的I/O端口,及其控制的準(zhǔn)確性,實(shí)現(xiàn)基本的密碼鎖功能。在單片機(jī)的外圍電路外接輸入鍵盤用于密碼的輸入和一些功能的控制,外接LED顯示器用于顯示作用。當(dāng)用戶需要開鎖時(shí),先按鍵盤開鎖鍵之后按鍵盤的數(shù)字鍵09輸入密碼。密碼輸完后按下確認(rèn)鍵,如果密碼輸入正確則開鎖,不正確顯示密碼錯(cuò)誤重新輸入密碼,當(dāng)三次密碼錯(cuò)誤則發(fā)出報(bào)警;當(dāng)用戶需要修改密碼時(shí),先按下鍵盤設(shè)置鍵后輸入原來(lái)的密碼,只有當(dāng)輸入的原密碼正確后才能設(shè)置新密碼。新密碼輸入無(wú)誤后按確認(rèn)鍵使新密碼將得到存儲(chǔ),密碼修改成功 潘永雄.新編單片機(jī)原理與應(yīng)

20、用M .西安:西安電子科技大學(xué)出版社,2003:68頁(yè)??梢钥闯龇桨付刂旗`活準(zhǔn)確性好且保密性強(qiáng)還具有擴(kuò)展功能,根據(jù)現(xiàn)實(shí)生活的需要此次設(shè)計(jì)采用此方案。3 主要元器件介紹3.1 主控芯片AT89S51 趙建領(lǐng).51系列單片機(jī)開發(fā)寶典M .北京:電子工業(yè)出版社,2007:5頁(yè)AT89S51是一個(gè)低功耗,高性能CMOS 8位單片機(jī),片內(nèi)含4k Bytes ISP(In-system programmable)的可反復(fù)擦寫1000次的Flash只讀程序存儲(chǔ)器,器件采用ATMEL公司的高密度、非易失性存儲(chǔ)技術(shù)制造,兼容標(biāo)準(zhǔn)MCS-51指令系統(tǒng)及80C51引腳結(jié)構(gòu),芯片內(nèi)集成了通用8位中央處理器和ISP

21、Flash存儲(chǔ)單元,功能強(qiáng)大的微型計(jì)算機(jī)的AT89S51可為許多嵌入式控制應(yīng)用系統(tǒng)提供高性價(jià)比的解決方案。3.1.1 AT89S51性能簡(jiǎn)介AT89S51具有如下特點(diǎn):40個(gè)引腳,4k Bytes Flash片內(nèi)程序存儲(chǔ)器,128 bytes的隨機(jī)存取數(shù)據(jù)存儲(chǔ)器(RAM),32個(gè)外部雙向輸入/輸出(I/O)口,5個(gè)中斷優(yōu)先級(jí)2層中斷嵌套中斷,2個(gè)16位可編程定時(shí)計(jì)數(shù)器,2個(gè)全雙工串行通信口,看門狗(WDT)電路,片內(nèi)時(shí)鐘振蕩器。此外,AT89S51設(shè)計(jì)和配置了振蕩頻率可為0Hz并可通過(guò)軟件設(shè)置省電模式??臻e模式下,CPU暫停工作,而RAM定時(shí)計(jì)數(shù)器,串行口,外中斷系統(tǒng)可繼續(xù)工作,掉電模式凍結(jié)振

22、蕩器而保存RAM的數(shù)據(jù),停止芯片其它功能直至外中斷激活或硬件復(fù)位。同時(shí)該芯片還具有PDIP、TQFP和PLCC等三種封裝形式,以適應(yīng)不同產(chǎn)品的需求。圖3-1 AT89S51 芯片引腳圖其主要功能特性: 兼容MCS-51指令系統(tǒng) 4k可反復(fù)擦寫(>1000次)ISP Flash ROM 32個(gè)雙向I/O口 4.5-5.5V工作電壓 2個(gè)16位可編程定時(shí)/計(jì)數(shù)器 時(shí)鐘頻率0-33MHz 全雙工UART串行中斷口線 128x8 bit內(nèi)部RAM 2個(gè)外部中斷源 低功耗空閑和省電模式 中斷喚醒省電模式 3級(jí)加密位看門狗(WDT)電路 軟件設(shè)置空閑和省電功能靈活的ISP字節(jié)和分頁(yè)編程 雙數(shù)據(jù)寄存器

23、指針可以看出AT89S51提供以下標(biāo)準(zhǔn)功能:4K字節(jié)Flash閃速存儲(chǔ)器,128字節(jié)內(nèi)部RAM,32個(gè)I/O口線,看門狗(WDT),兩個(gè)數(shù)據(jù)指針,兩個(gè)16位定時(shí)器/計(jì)數(shù)器,一個(gè)5向量?jī)杉?jí)中斷結(jié)構(gòu),一個(gè)全雙工串行通信口,片內(nèi)振蕩器及時(shí)鐘。同時(shí), AT89S51可降至0Hz的靜態(tài)邏輯操作,并支持兩種軟件可選的節(jié)電工作模式??臻e方式停止CPU的工作,但允許RAM,定時(shí)/計(jì)數(shù)器,串行通信口及中斷系統(tǒng)繼續(xù)工作。掉電方式何在RAM中的內(nèi)容,但振蕩器停止工作并禁止其它所有部件工作直接到一個(gè)硬件復(fù)位。3.1.2 AT89S51引腳功能說(shuō)明Vcc:電源電壓GND:地P0口:P0口是一組8位漏極開路型雙向I/O口

24、,也即地址/數(shù)據(jù)總線復(fù)用口,作為輸出口用時(shí),每位能驅(qū)動(dòng)8個(gè)TTL邏輯門電路,對(duì)端口寫“1”可作為高阻抗輸入端口。在訪問外部數(shù)據(jù)存儲(chǔ)器或程序存儲(chǔ)器時(shí),這組口線分時(shí)轉(zhuǎn)換地址(低8位)和數(shù)據(jù)總線復(fù)用,在訪問期間激活內(nèi)部上拉電阻。在Flash編程時(shí),P0口接收指令字節(jié),而在程序校驗(yàn)時(shí),輸出指令字節(jié),校驗(yàn)時(shí),要求外接上拉電阻。P1口:P1是一個(gè)帶內(nèi)部上拉電阻的8位雙向I/O口,P1的輸出緩沖級(jí)可驅(qū)動(dòng)(吸收或輸出電流)4個(gè)TTL邏輯門電路。對(duì)端口寫“1”,通過(guò)內(nèi)部的上拉電阻把端口拉到高電平,此時(shí)可作輸入口。作輸入口使用時(shí),因?yàn)閮?nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào)校驗(yàn)期間,P1接收低8位地址。表3-1為P1

25、口第二功能。表3-1 P1口第二功能端口引腳第二功能P1.5MOSI(用于ISP編程)P1.6MISO(用于ISP編程)P1.7SCK(用于ISP編程)P2口:P2是一個(gè)帶有內(nèi)部上拉電阻的8位雙向I/O口,P2的輸出緩沖級(jí)可驅(qū)動(dòng)4個(gè)TTL邏輯門電路。對(duì)端口寫“1”,通過(guò)內(nèi)部的上拉電阻把端口拉到高電平,此時(shí)可作輸入口,作輸入口使用時(shí),因?yàn)閮?nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào)拉低時(shí)會(huì)輸出一個(gè)電流I。在訪問位地址的外部數(shù)據(jù)存儲(chǔ)器(如執(zhí)行:MOVX Ri 指令)時(shí),P2口線上的內(nèi)(也即特殊功能寄存器,在整個(gè)訪問期間不改變。Flash 編程或校驗(yàn)時(shí),P2也接收高位地址和其它控制信號(hào)。P3口:P3口是一組

26、帶有內(nèi)部上拉電阻的8位雙向I/O口。P3口輸出緩沖級(jí)可驅(qū)動(dòng)(吸收或輸出電流)4個(gè)TTL邏輯門電路。對(duì)P3口寫入“1”時(shí),它們被內(nèi)部上拉電阻拉高并可作為輸入端口。作輸入端口時(shí),被外部拉低的P3口將用上拉電阻輸出電流I。P3口除了作為一般的I/O口線外,更重要的用途是它的第二功能,P3口的第二功能如下表3-2。表3-2 P3口的第二功能端口功能第二功能端口引腳第二功能RXD(P3.0)串行輸入口T0(P3.4)定時(shí)/計(jì)數(shù)器0外部輸入TXD(P3.1)串行輸出口T1(P3.5)定時(shí)/計(jì)數(shù)器1外部輸入INT0(P3.2)外中斷0WR(P3.6)外部數(shù)據(jù)存儲(chǔ)器寫選通INT1(P3.3)外中斷1RD(P3

27、.7)外部數(shù)據(jù)存儲(chǔ)器讀選通RST:復(fù)位輸入。當(dāng)振蕩工作時(shí),RST引腳出現(xiàn)兩個(gè)機(jī)器周期上高電平將使單片機(jī)復(fù)位。WDT益出將使該引腳輸出高電平,設(shè)置SFR AUXR 的 DISRTO 位(地址8EH)可打開或關(guān)閉該功能。DISRTO 位缺省為RESET輸出高電平打開狀態(tài)。ALE/PROG:當(dāng)訪問外部程序存儲(chǔ)器或數(shù)據(jù)存儲(chǔ)器時(shí),ALE(地址鎖存允許)輸出脈沖用于鎖存地址的低8位字節(jié)。即使不訪問外部存儲(chǔ)器,ALE仍以時(shí)鐘振蕩頻率的1/6輸出的正脈沖信號(hào),因此它可對(duì)外輸出時(shí)鐘或用于定時(shí)目地,要注意的是:第當(dāng)訪問外部數(shù)據(jù)存儲(chǔ)器時(shí)將跳過(guò)一個(gè)ALE脈沖。如有必要,可通過(guò)對(duì)特殊功能寄存器(SFR)區(qū)中的8EH單元

28、的D0位置位,可禁止ALE操作。該位禁位后,只有一條MOVX 和MOVC指令A(yù)LE才會(huì)被激活。此外,該引腳伎被微弱拉高,單片機(jī)執(zhí)行外部程序時(shí),應(yīng)設(shè)置ALE無(wú)效。PSEN:程序儲(chǔ)存允許(PSEN)輸出是外部程序存儲(chǔ)器的讀選通信號(hào),當(dāng)AT89S51由外部程序存儲(chǔ)器取指令(或數(shù)據(jù))時(shí),每個(gè)機(jī)器周期兩次PSEN有效,即輸出兩個(gè)脈沖。當(dāng)訪問外部數(shù)據(jù)存儲(chǔ)器,高有兩次有效的PSEN信號(hào)。EA/VPP:外部訪問允許。欲使CPU公訪問外部程序存儲(chǔ)器(地址0000HFFFFH),EA端必須保持低電平(接地)。需注意的是:如果加密位LB1被編程,復(fù)位時(shí)內(nèi)部會(huì)鎖存EA端狀態(tài)。如EA端為高電平(接Vcc端),CPU則執(zhí)

29、行內(nèi)部程序存儲(chǔ)器中的指令。Flash存儲(chǔ)器編程時(shí),該引腳加上12V的編程電壓Vpp。XTAL1:振蕩器反相放大器及內(nèi)部時(shí)鐘發(fā)生器的輸入端。XTAL2:振蕩器反相放大器的輸出端。3.1.3 AT89S51芯片內(nèi)部結(jié)構(gòu)特殊功能寄存器:特殊功能寄存器的片內(nèi)空間分存如下圖3-2所示。這些地址并沒有全部占用,沒有占用的地址不可使用,讀這些地址將得到一個(gè)隨意的數(shù)值。而寫這些地址單元將不能得到預(yù)期的結(jié)果。中斷寄存器:各中斷允許控制位于IE寄存器,5個(gè)中斷源的中斷優(yōu)先級(jí)控制位于IP寄存器。圖3-2為AUXR輔助寄存器。圖3-2 AUXR輔助寄存器雙時(shí)鐘指針寄存器:為方便地訪問內(nèi)部和外部數(shù)據(jù)存儲(chǔ)器,提供了兩個(gè)1

30、6位數(shù)據(jù)指針寄存器:PD0位于SFR區(qū)塊中的地址82H、83H和DP1位于地址84H、85H,當(dāng)SFR中的位DPS=0時(shí)選擇DP0,而DPS=1時(shí)選擇DP1。在使用前初始化DPS。圖3-3為雙時(shí)鐘指針寄存器。圖3-3 雙時(shí)鐘指針寄存器電源空閑標(biāo)志:電源空閑標(biāo)志(POF)在特殊功能寄存儲(chǔ)器SFR中PCON的第4位(PCON.4),電源打開時(shí)POF置“1”,它可由軟件設(shè)置睡眠狀態(tài)并不為復(fù)位所影響。存儲(chǔ)器結(jié)構(gòu):MCS-51單片機(jī)內(nèi)核采用程序存儲(chǔ)器和數(shù)據(jù)存儲(chǔ)器空間分開的結(jié)構(gòu),均具有64KB外部程序和數(shù)據(jù)的尋址空間。程序存儲(chǔ)器:如果EA引腳接地(GND),全部程序均執(zhí)行外部存儲(chǔ)器。在AT89S51,假如

31、接至Vcc(電源),程序首先執(zhí)行從地址0000H0FFFH(4KB)內(nèi)部程序存儲(chǔ)器,再執(zhí)行地址為1000HFFFFH(60KB)的外部程序存儲(chǔ)器。數(shù)據(jù)存儲(chǔ)器:在AT89S51的具有128字節(jié)的內(nèi)部RAM,這128字節(jié)可利用直接或間接尋址方式訪問,堆棧操作可利用間接尋址方式進(jìn)行,128字節(jié)均可設(shè)置為堆棧區(qū)空間??撮T狗定時(shí)器(WDT):WDT是為了解決CPU程序運(yùn)行時(shí)可能進(jìn)入混亂或死循環(huán)而設(shè)置,它由一個(gè)14bit計(jì)數(shù)器和看狗復(fù)位SFR(WDTRST)構(gòu)成。外部復(fù)位時(shí),WDT默認(rèn)為關(guān)閉狀態(tài),要打開WDT,必按順序?qū)?1H和0E1H寫到WDTRST寄存器,當(dāng)啟動(dòng)了WDT,它會(huì)隨晶體振蕩器在每個(gè)機(jī)器周期

32、計(jì)數(shù),除硬件復(fù)位或WDT溢出復(fù)位外沒有其它方法關(guān)閉WDT,當(dāng)WDT溢出,將使RST引腳輸出高電平的復(fù)位脈沖。3.2 共陽(yáng)七段數(shù)碼管LED 何立民.單片機(jī)應(yīng)用技術(shù)大全M .北京:北京航空航天大學(xué)出版社,1994:127頁(yè)LED數(shù)碼管的筆劃由發(fā)光二極管組成,故其特性與發(fā)光二極管相同,它適用于各種電子裝置作數(shù)字顯示。LED顯示是用發(fā)光二極管顯示字段的顯示器件,也可稱為數(shù)碼管,其外形結(jié)構(gòu)如圖所示,由圖3-4可見它由8個(gè)發(fā)光二極管構(gòu)成,通過(guò)不同的組合可用來(lái)顯示09、AF及小數(shù)點(diǎn)。圖3-4 LED顯示塊的內(nèi)部電路分段式數(shù)碼管利用不同的發(fā)光段組合來(lái)顯示不同的數(shù)字,其應(yīng)用很廣泛。最常見的分段式數(shù)碼管有兩種,共

33、陰極數(shù)碼管和共陽(yáng)極數(shù)碼管。在電子密碼鎖的設(shè)計(jì)中,我們所采用的數(shù)碼管為共陽(yáng)極數(shù)碼管。常見的半導(dǎo)體發(fā)光二極管是一種能將電能或電信號(hào)轉(zhuǎn)換成光信號(hào)的結(jié)型發(fā)光器。其內(nèi)部結(jié)構(gòu)是由磷砷化鎵等半導(dǎo)體材料組成的PN結(jié)。當(dāng)PN結(jié)正向?qū)〞r(shí),能輻射發(fā)光。輻射波長(zhǎng)決定了發(fā)光顏色,有紅、綠、橙、黃等顏色。單個(gè)PN結(jié)封裝而成的產(chǎn)品就是發(fā)光二極管,而多個(gè)PN結(jié)可以封裝成半導(dǎo)體數(shù)碼管(也稱為L(zhǎng)ED數(shù)碼管)。半導(dǎo)體數(shù)碼管內(nèi)部有兩種接法,即共陽(yáng)極接法和共陰極接法。共陰極是將8個(gè)發(fā)光二極管陰極連接在一起作為公共端,而共陽(yáng)極是將8個(gè)發(fā)光二極管的陽(yáng)極連接在一起作為公共端。我們這次就是采用的共陽(yáng)極LED,所以這里要介紹共陽(yáng)極數(shù)碼管。如圖

34、所示,LED顯示器有靜態(tài)和動(dòng)態(tài)顯示兩種方式,靜態(tài)顯示是將共陰極聯(lián)到一起接地,每位的顯示段(a-dp)分別與一個(gè)8位的鎖存器輸出相連。由于顯示的各位可以相互獨(dú)立,各位可以互相顯示,只要在該位的段選線上保持段選碼電平,該位就能保持相應(yīng)的顯示字符。并且由于各位由一個(gè)8位鎖存器控制段選線,故在同一時(shí)間內(nèi)每一位顯示的字符可以不同,這種方式占用鎖存器較多。動(dòng)態(tài)顯示是將所有位的段選線相應(yīng)的并聯(lián)在一起,由一個(gè)8位的I/O口控制,形成段選線的多路復(fù)用。而各位的陰極分別由相應(yīng)的I/O口控制,實(shí)現(xiàn)各位的分時(shí)選通。要LED能夠顯示相應(yīng)的字符,就必須采用動(dòng)態(tài)掃描方式,只要每位顯示的時(shí)間足夠短,則可造成多位同時(shí)顯示的假象

35、,達(dá)成顯示的目的。發(fā)光二極管的工作電壓為1.5-3.0伏,工作電流為一毫安到幾十毫安,壽命很長(zhǎng)。半導(dǎo)體數(shù)碼管將十位數(shù)分成七個(gè)字段,每段為一個(gè)發(fā)光二極管,其字型結(jié)構(gòu)如圖所示,選擇不同的字段發(fā)光,可顯示出不同的字型。例如:當(dāng)a,b,c,d,e,f,g七個(gè)字段同時(shí)亮?xí)r,顯示8,b、c 段亮?xí)r,顯示出。共陽(yáng)極:把發(fā)光二極管的陽(yáng)極連在一起構(gòu)成共陽(yáng)極。使用時(shí)公共端接Vcc,當(dāng)某陽(yáng)極為低電平時(shí),該發(fā)光二極管就導(dǎo)通發(fā)光。輸出一個(gè)段碼就可以控制LED顯示器的字型,表3-3給出了段碼與字型的關(guān)系,假定a、b、c、d、e、f、g、DP分別對(duì)應(yīng)D0、D1、D2、D3、D4、D5、D6、D7。表3-3 段碼與字型的關(guān)系

36、段碼D7DPD6gD5fD4eD3dD2cD2bD0a字型3FH00111111006H0000001015BH0101101124FH01001111366H0110011046DH0110110157DH01111101607H0000011177FH0111111186FH01101111977H01110111a7CH01110111b39H00111001c5EH01011110d79H01111001e71H01110000f3.3 晶體振蕩器晶體振蕩器,簡(jiǎn)稱晶振,其作用在于產(chǎn)生原始的時(shí)鐘頻率,這個(gè)頻率經(jīng)過(guò)頻率發(fā)生器的放大或縮小后就成了電腦中各種不同的總線頻率。以聲卡為例,要實(shí)現(xiàn)對(duì)

37、模擬信號(hào)44.1kHz或48kHz的采樣,頻率發(fā)生器就必須提供一個(gè)44.1kHz或48kHz的時(shí)鐘頻率。如果需要對(duì)這兩種音頻同時(shí)支持的話,聲卡就需要有兩顆晶振。但是現(xiàn)在的娛樂級(jí)聲卡為了降低成本,通常都采用SCR將輸出的采樣頻率固定在48kHz,但是SRC會(huì)對(duì)音質(zhì)帶來(lái)?yè)p害,而且現(xiàn)在的娛樂級(jí)聲卡都沒有很好地解決這個(gè)問題?,F(xiàn)在應(yīng)用最廣泛的是石英晶體振蕩器。 石英晶體振蕩器是一種高精度和高穩(wěn)定度的振蕩器,石英晶體振蕩器也稱石英晶體諧振器,它用來(lái)穩(wěn)定頻率和選擇頻率,是一種可以取代LC諧振回路的晶體諧振元件。石英晶體振蕩器廣泛地應(yīng)用在電視機(jī)、影碟機(jī)、錄像機(jī)、無(wú)線通訊設(shè)備、電子鐘表、單片機(jī)、數(shù)字儀器儀表等電

38、子設(shè)備中。為數(shù)據(jù)處理設(shè)備產(chǎn)生時(shí)鐘信號(hào)和為特定系統(tǒng)提供基準(zhǔn)信號(hào)。在單片機(jī)中為其提供時(shí)鐘頻率。石英晶體振蕩器是利用石英晶體(二氧化硅的結(jié)晶體)的壓電效應(yīng)制成的一種諧振器件,它的基本構(gòu)成大致是:從一塊石英晶體上按一定方位角切下薄片(簡(jiǎn)稱為晶片,它可以是正方形、矩形或圓形等),在它的兩個(gè)對(duì)應(yīng)面上涂敷銀層作為電極,在每個(gè)電極上各焊一根引線接到管腳上,再加上封裝外殼就構(gòu)成了石英晶體諧振器,簡(jiǎn)稱為石英晶體或晶體、晶振。其產(chǎn)品一般用金屬外殼封裝,也有用玻璃殼、陶瓷或塑料封裝的。只要在晶體振子板極上施加交變電壓,就會(huì)使晶片產(chǎn)生機(jī)械變形振動(dòng),此現(xiàn)象即所謂逆壓電效應(yīng)。當(dāng)外加電壓頻率等于晶體諧振器的固有頻率時(shí),就會(huì)發(fā)

39、生壓電諧振,從而導(dǎo)致機(jī)械變形的振幅突然增大。4系統(tǒng)硬件設(shè)計(jì)及其原理4.1 單片機(jī)串行通信原理 李群芳、肖看.單片機(jī)原理、接口及應(yīng)用M .北京:清華大學(xué)出版社,2004:208頁(yè)MCS-51單片機(jī)內(nèi)部有一個(gè)全雙工的串行接收和發(fā)射緩沖器(SBUFF),這兩個(gè)在物理上獨(dú)立的接收發(fā)射器,即可以接收也可以發(fā)射數(shù)據(jù),但接收緩沖器只可以讀出不能寫入,而發(fā)送緩沖器只能寫入不能讀出,它們的地址是99H。這個(gè)通信口即可以用于網(wǎng)絡(luò)通信,亦可以實(shí)現(xiàn)串行異步通信,還可以構(gòu)成同步移位寄存器使用。如果在串行口的輸入輸出引腳上加上電平轉(zhuǎn)換器,就可以方便的構(gòu)成標(biāo)準(zhǔn)的RS-232接口。下面我們分別介紹。4.1.1串行通信基礎(chǔ)數(shù)據(jù)

40、通信的傳輸方式:常用于數(shù)據(jù)通信的傳輸方式有單工、半雙工、全雙工和多工方式。1) 單工方式:數(shù)據(jù)僅按一個(gè)固定的方向傳送。因?yàn)檫@種傳輸方式的用途有限,常用于串行口的打印數(shù)據(jù)傳輸與簡(jiǎn)單系統(tǒng)間的數(shù)據(jù)采集。2) 半雙工方式:數(shù)據(jù)可以實(shí)現(xiàn)雙向傳送,但不能同時(shí)進(jìn)行,實(shí)際的應(yīng)用采用某種協(xié)議實(shí)現(xiàn)收發(fā)開關(guān)轉(zhuǎn)換。3) 全雙工方式:允許雙方同時(shí)進(jìn)行數(shù)據(jù)雙向傳送,但一般全雙工電路的線路和設(shè)備比較復(fù)雜。4) 多工方式:以上三種傳輸方式都是同一線路傳輸一種頻率信號(hào),為了充分的利用線路資源,可通過(guò)使用多路復(fù)用器或多路集線器,采用頻分、時(shí)分、或碼分復(fù)用技術(shù),即可實(shí)現(xiàn)在同一線路上資源共享功能,我們稱之為多工傳輸方式。串行通信的兩

41、種通信形式1)異步通信 在這種通信方式中,接收器和發(fā)射器有各自的時(shí)鐘,他們的工作是非同步的,異步通信用一幀來(lái)表示一個(gè)字符,其內(nèi)容如下:一個(gè)起始位,緊接著是若干個(gè)數(shù)據(jù)位。2)同步通信 同步通信格式中,發(fā)送器和接收器由同一個(gè)時(shí)鐘源控制,為了克服在異步傳輸中,每傳輸一幀字符都必須加上起始位和停止位,占用了傳輸時(shí)間,在要求傳送的數(shù)據(jù)量較大的情況下使用。串行數(shù)據(jù)通信的傳輸速率:串行數(shù)據(jù)傳輸速率有兩個(gè)概念,即每秒傳送的位數(shù)bps(Bit per second)和每秒符號(hào)數(shù)波特率(Band rate),在具有調(diào)制解調(diào)器的通信中,波特率與調(diào)制速率有關(guān)。4.1.2 MCS-51的串行和控制寄存器MCS-51單片

42、機(jī)串行口專用寄存器結(jié)構(gòu)如圖所示。SBUF為串行口的收發(fā)緩沖器,它是一個(gè)可尋址的專用寄存器,其中包含了接收器和發(fā)射器寄存器,可以實(shí)現(xiàn)全雙工通信。但這兩個(gè)寄存器具有同一地址(99H)。MCS-51的串行數(shù)據(jù)傳輸很簡(jiǎn)單,只要向緩沖器寫入數(shù)據(jù)就可發(fā)送數(shù)據(jù)。而從接收緩沖器讀出數(shù)據(jù)既可接收數(shù)據(jù)。此外,接收緩沖器前還加上一級(jí)輸入移位寄存器,MCS-51這種結(jié)構(gòu)的目的在于接收數(shù)據(jù)時(shí)避免發(fā)生重疊現(xiàn)象,文獻(xiàn)稱這種結(jié)構(gòu)為雙緩沖結(jié)構(gòu)。而發(fā)送數(shù)據(jù)就不需要這樣設(shè)計(jì),因?yàn)榘l(fā)送時(shí),CPU是主動(dòng)的,不可能出現(xiàn)這種情況。1)串行通信寄存器在上一節(jié)我們已經(jīng)分析了SCON控制寄存器,它是一個(gè)可尋址的專用寄存器,用于串行數(shù)據(jù)通信的控制

43、,單元地址是98H,其結(jié)構(gòu)格式如下:表4-1 SCON寄存器結(jié)構(gòu)寄存器SCON結(jié)構(gòu)SCOND7D6D5D4D3D2D1D0SM0SM1SM2RENTB8RB8TIRI位地址9FH9EH8DH9CH9BH9AH99H98H下面我們對(duì)個(gè)控制位功能介紹如下:(1)SM0、SM1:串行口工作方式控制位 SMO SM1 工作方式 功能說(shuō)明 0 0 方式0 移位寄存器方式(用于I/O擴(kuò)展) 0 1 方式1 8位UART,波特率可變(T1溢出率/ n) 1 0 方式2 9 位UART,波特率為fosc/64或fosc/321 1 方式3 9位UART,波特率可變(T1溢出率/ n) (2)SM2:多機(jī)通信控

44、制位多機(jī)通信是工作方式2和方式3,SM2位主要用于方式2和方式3接收狀態(tài),當(dāng)串行口工作方式2或3,以及SM2=1時(shí),只有當(dāng)接收到第9位數(shù)據(jù)(RB8)為1時(shí),才把接收的前8位數(shù)據(jù)送入SBUF,且置位RI發(fā)出中斷申請(qǐng),否則會(huì)將收到的數(shù)據(jù)放棄。當(dāng)SM2=0時(shí),只有在接收到有效停止位時(shí)才啟動(dòng)RI,若沒接收到有效停止位,則RI清“0”。在方式0中SM2應(yīng)該為“0”。REN:允許接收控制位。由軟件置“1”時(shí),允許接收;軟件置“0”時(shí),不許接收。TB8:在方式3和方式3中要發(fā)送的第9位數(shù)據(jù),需要時(shí)用軟件置位和清零。TB8:在方式2和方式3中是接收到的第9位數(shù)據(jù)。在方式1時(shí),如SM2=0,RB8接收到的停止位

45、。在方式0中,不使用RB8。TI:發(fā)送中斷標(biāo)志。由硬件在方式0發(fā)送完第8位時(shí)置“1”,或在其它方式中串行發(fā)送停止位的開始時(shí)置“1”。必須由軟件清“0”。RI:接收中斷標(biāo)志。由硬件在方式0串行發(fā)射第8位結(jié)束時(shí)置“1”B:特殊功能寄存器PCONPCON:主要是是CHMOS型單片機(jī)的電源控制而設(shè)置的專用寄存器,單元地址為87H其機(jī)構(gòu)格式如下表:表4-2 特殊功能寄存器PCON PCOND7D6D5D4D3D2D1D0位符號(hào)SMOD GF1GF0PDIDL在CHMOS型單片機(jī)中,除SMOD位外其它位均為虛設(shè)的,SMOD是串行波特率倍增位,當(dāng)SMOD=1時(shí)串行口波特率加倍,系統(tǒng)復(fù)位默認(rèn)為SMOD=0。2

46、)中斷允許寄存器IE中斷允許寄存器這里重述一下對(duì)串行口有影響的位ES。ES為串行中斷允許控制位,ES=1允許串行中斷,ES=0,禁止串行中斷。表4-3 中斷允許寄存器符號(hào)EAESET1EX1ETOEX0位地址AFHAEHADHACHABHAAHA8HA8H3)串行口工作方式MCS-51單片機(jī)串行接口具有4種工作方式,我從實(shí)際應(yīng)用和畢業(yè)設(shè)計(jì)的角度,重點(diǎn)討論方式3發(fā)送。串行口定義為方式3時(shí)傳送1幀數(shù)據(jù)為10位,其中1位起始地址、8位數(shù)據(jù)位(先低位后高位)、1位停止位,方式3的波特率可變,波特率由下式確定,即 其中,fosc選12MHz,T1的初值為0F4H,SMOD=04.2 遙控發(fā)射電路設(shè)計(jì)及紅

47、外遙控原理遙控發(fā)射電路利用了通用的紅外發(fā)射管 童詩(shī)白,華成英.模擬電子技術(shù)基礎(chǔ)M .北京:高等教育出版社,2000 :186頁(yè) ,現(xiàn)只列出電路圖,如下圖4-1所示。圖4-1 遙控發(fā)射電路紅外線發(fā)射(接收)控制電路均采用AT89S51單片機(jī)來(lái)實(shí)現(xiàn),電路簡(jiǎn)單,輸出控制方式可選擇,實(shí)用性強(qiáng)。具體工作過(guò)程如下:發(fā)射時(shí):圖所示為遙控發(fā)射電路圖。首先,將從串行發(fā)射口P3.1送出的數(shù)據(jù)反饋到P1.1口進(jìn)行內(nèi)部調(diào)制,再?gòu)腜1.2口送出,再經(jīng)過(guò)紅外發(fā)射二極管發(fā)射出去,發(fā)射距離為10米。接收時(shí):采用與發(fā)射配套的紅外接收頭,將發(fā)射出的數(shù)據(jù)接收后送到串行口P3.0中,再由系統(tǒng)進(jìn)行確認(rèn)接收數(shù)據(jù)是否與發(fā)送數(shù)據(jù)相符,相符則

48、開鎖,不相符則放棄。4.3 本機(jī)鍵開鎖設(shè)計(jì)及工作原理 郭海英.基于單片機(jī)的電子安全密碼鎖的設(shè)計(jì)J .現(xiàn)代電子技術(shù)出版社,2005: 86頁(yè)本機(jī)鍵開鎖電路如下圖4-2所示,本電路由遙控發(fā)射器、接收器、鍵盤、顯示器組成,本機(jī)采用了10個(gè)按鍵,作為密碼輸入鍵,同時(shí)也采用了遙控器開鎖。圖4-2 本機(jī)鍵開鎖電路圖AT89S51作為本電路的核心,P0口和P1.0、P1.1、P1.2外接本機(jī)鍵盤,P3.0口外接遙控接收頭,P1.3口外接報(bào)警信號(hào)放大器,用來(lái)放大報(bào)警信號(hào),驅(qū)動(dòng)揚(yáng)聲器,P1.7口外接開鎖電磁驅(qū)動(dòng)電路,P1.5外接密碼選擇鍵,EPROM內(nèi)存有兩套密碼,P2口接數(shù)碼管,用來(lái)顯示按鍵是否按下,P1.4

49、口外接驅(qū)動(dòng)控制電路用來(lái)控制LM386的電源,也就是說(shuō)只有報(bào)警時(shí),LM386才供電源,平常斷電,這樣大大減小了耗電流。本機(jī)鍵開鎖工作特點(diǎn):1) 當(dāng)沒有接收到遙控信號(hào)時(shí),這時(shí)由鍵盤輸入密碼,當(dāng)5位有效密碼輸入正確時(shí)按“#”號(hào)確認(rèn),P1.7口輸出高電平使電磁鎖動(dòng)作,完成開鎖,同時(shí),電路進(jìn)入延時(shí)狀態(tài),延時(shí)5秒鐘后,電路將自動(dòng)恢復(fù)到初始的閉鎖狀態(tài)。2) 當(dāng)5位有效密碼輸入正確,但沒有按“#”號(hào)鍵確認(rèn)時(shí),這時(shí)電路將自動(dòng)放棄,恢復(fù)到初始的閉鎖狀態(tài)。3) 在輸入5位有效密碼時(shí),必須按照先后順序輸入,如順序錯(cuò)誤或密碼不對(duì)時(shí),這時(shí)若不按“#”號(hào)確認(rèn),將無(wú)法開鎖,并同時(shí)發(fā)出5秒鐘的報(bào)警信號(hào),用以提醒用戶。4) 若連

50、續(xù)3次輸入錯(cuò)誤,系統(tǒng)會(huì)長(zhǎng)時(shí)間報(bào)警,這時(shí)必須按復(fù)位鍵恢復(fù)初始化狀態(tài)。5) 輸入密碼時(shí),首位密碼正確輸入后,電路將開始自動(dòng)計(jì)時(shí),每位密碼數(shù)之間的輸入間隔應(yīng)在3秒內(nèi)。否則將作為輸入超時(shí)處理,系統(tǒng)自動(dòng)放棄,恢復(fù)到初始狀態(tài)。4.4 遙控開鎖的工作原理當(dāng)選擇遙控開鎖時(shí),本機(jī)鍵便會(huì)被封鎖。這時(shí),用戶手執(zhí)遙控器按下AT89S51上的P1.0口上的按鍵時(shí),便有數(shù)字從AT89S51 P1.2口發(fā)出,發(fā)送的過(guò)程如下:首先將從P3.0口送出5FH,然后將5FH反饋到P1.1口進(jìn)行內(nèi)部調(diào)制,調(diào)制的規(guī)則是“0”電平調(diào)制,“1”電平不調(diào)制,最后將調(diào)好的數(shù)據(jù)從P1.2口通過(guò)紅外發(fā)光二極管以波長(zhǎng)為940nm的紅外光發(fā)出紅外遙控

51、信號(hào)。然后,在本機(jī)控制電路中的AT89S51的P3.0口接有與紅外發(fā)光二極管配套的接收頭,將接收的光信號(hào)轉(zhuǎn)換成電信號(hào)數(shù)據(jù)。最后,通過(guò)系統(tǒng)與內(nèi)部原先設(shè)置的數(shù)據(jù)進(jìn)行比較確認(rèn),如果一致便可開鎖,如果不一致便放棄開鎖。4.5 按鍵的設(shè)計(jì)原理按鍵方式分為獨(dú)立式和行列式兩種,本設(shè)計(jì)采用獨(dú)立式按鍵結(jié)構(gòu),共設(shè)置了10個(gè)按鍵。獨(dú)立式按鍵是指直接用I/O口線構(gòu)成的單個(gè)按鍵電路。每個(gè)獨(dú)立按鍵單獨(dú)占有一根I/O口線,每根I/O口線上的按鍵工作狀態(tài)不會(huì)影響其他I/O口線的工作狀態(tài)。它的電路配置靈活,軟件結(jié)構(gòu)簡(jiǎn)單。5 系統(tǒng)軟件設(shè)計(jì)5.1 軟件設(shè)計(jì)概述軟件的設(shè)計(jì)是畢業(yè)設(shè)計(jì)的另一個(gè)重要方面,它的好壞直接關(guān)系到畢業(yè)設(shè)計(jì)的成功與

52、否。此次設(shè)計(jì)我是用匯編完成的,需要能熟練地掌握匯編語(yǔ)言。軟件部分對(duì)應(yīng)地由主程序、初始化程序、延時(shí)模塊程序、鍵盤掃描模塊程序、密碼設(shè)置程序、繼電器控制程序、LED顯示模塊程序和報(bào)警鳴響程序等組成。其原理框圖如圖5-1所示。AT89S51鍵盤輸入復(fù)位電路密碼存儲(chǔ)電路晶振電路電源輸入顯示電路報(bào)警電路開鎖電路圖5-1 電子密碼鎖原理框圖 5.2 程序流程圖程序流程圖是編寫軟件的重要前提。它是在圖表上直觀地體現(xiàn)擬設(shè)計(jì)的目的及過(guò)程,也是編譯的重要依據(jù),按照流程圖一步一步編寫程序。下面是我主程序總體流程中的遙控發(fā)射程序框圖5-2和遙控接收程序框圖5-3。圖5-2 遙控發(fā)射程序框圖圖5-3 遙控接收程序框圖6 結(jié)論本系統(tǒng)采用MSC-51系列單片機(jī)中的AT89S51芯片為核心器件來(lái)設(shè)計(jì)電子密碼鎖,結(jié)合外圍的鍵盤輸入、LED顯示、報(bào)警系統(tǒng)等電路來(lái)完成錯(cuò)誤報(bào)警,超次鎖定、修改用戶密碼,恢復(fù)初始密碼和紅外遙控開鎖等功能。其中電磁鎖電路暫時(shí)利用發(fā)光二極管代替,用發(fā)光二極管的亮滅和蜂鳴器的響

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論