實驗2參數(shù)可設(shè)置宏功能模塊lpm的應(yīng)用._第1頁
實驗2參數(shù)可設(shè)置宏功能模塊lpm的應(yīng)用._第2頁
實驗2參數(shù)可設(shè)置宏功能模塊lpm的應(yīng)用._第3頁
實驗2參數(shù)可設(shè)置宏功能模塊lpm的應(yīng)用._第4頁
實驗2參數(shù)可設(shè)置宏功能模塊lpm的應(yīng)用._第5頁
已閱讀5頁,還剩20頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、實驗2參數(shù)參數(shù)ke ke 設(shè)置宏功能設(shè)置宏功能模塊模塊LPMLPM的應(yīng)用的應(yīng)用一、參數(shù)可設(shè)置模塊庫一、參數(shù)可設(shè)置模塊庫u參數(shù)可設(shè)置模塊庫參數(shù)可設(shè)置模塊庫(L Library of ibrary of P Parameterized arameterized MModulesodules,LPMLPM)是)是AlteraAltera公司提供的公司提供的以圖形或者硬件描述語言模塊以圖形或者硬件描述語言模塊形式方便調(diào)用的宏功能塊形式方便調(diào)用的宏功能塊u設(shè)計者可以根據(jù)設(shè)計電路的需要,選擇設(shè)計者可以根據(jù)設(shè)計電路的需要,選擇LPMLPM庫中的適當(dāng)模庫中的適當(dāng)模塊,通過對其設(shè)置參數(shù),完成自己的設(shè)計需要。塊,

2、通過對其設(shè)置參數(shù),完成自己的設(shè)計需要。u不必進(jìn)行重復(fù)模塊的設(shè)計,而將更多的精力放在其他功能不必進(jìn)行重復(fù)模塊的設(shè)計,而將更多的精力放在其他功能的實現(xiàn)上的實現(xiàn)上, ,極大地提高了電子設(shè)計的效率和可靠性。極大地提高了電子設(shè)計的效率和可靠性。一、參數(shù)可設(shè)置模塊庫(續(xù)一、參數(shù)可設(shè)置模塊庫(續(xù)1 1)1 1、ALTERAALTERA提供的宏功能模塊提供的宏功能模塊算術(shù)組件算術(shù)組件 如:累加器、加法器、乘法器、如:累加器、加法器、乘法器、LPMLPM算算 術(shù)函數(shù)術(shù)函數(shù)門電路門電路 如:多路復(fù)用器和如:多路復(fù)用器和LPMLPM門函數(shù)門函數(shù) I/OI/O組件組件 如:時鐘數(shù)據(jù)恢復(fù)(如:時鐘數(shù)據(jù)恢復(fù)(CDRCDR

3、)、鎖相環(huán)()、鎖相環(huán)(PLLPLL)、千兆位)、千兆位收發(fā)器塊(收發(fā)器塊(GXBGXB)、)、LVDSLVDS接收器和發(fā)送器等接收器和發(fā)送器等存儲組件和存儲器編譯器存儲組件和存儲器編譯器如:如:LPM_ROMLPM_ROM、RAMRAM一、參數(shù)可設(shè)置模塊庫(續(xù)一、參數(shù)可設(shè)置模塊庫(續(xù)2 2)u算數(shù)組件算數(shù)組件序號序號 模塊名稱模塊名稱功能描述功能描述1 1altfp_add_sub浮點加法器、減法器模塊2 2altfp_div浮點參數(shù)化除法器宏模塊3 3altfp_mult浮點參數(shù)化乘法器宏模塊4 4altmemmult參數(shù)化存儲乘法器宏模塊5 5altmult_accum參數(shù)化乘累加器宏模

4、塊6 6altmult_add參數(shù)化乘加器宏模塊7 7altfp_sqrt參數(shù)化整數(shù)平方根運算宏模塊8 8divide參數(shù)化除法器宏模塊9 9lpm_abs參數(shù)化絕對值運算宏模塊(Altera推薦使用)1010lpm_add_sub參數(shù)化加法器-減法器宏模塊(Altera推薦使用)1111lpm_compare參數(shù)化比較器宏模塊(Altera推薦使用)1212lpm_counter參數(shù)化計數(shù)器宏模塊(Altera推薦使用)1313lpm_divide參數(shù)化除法器宏模塊(Altera推薦使用)一、參數(shù)可設(shè)置模塊庫(續(xù)一、參數(shù)可設(shè)置模塊庫(續(xù)3 3)u門電路門電路序號序號模塊名稱模塊名稱功能描述功

5、能描述1 1lpm_and/or/xor 參數(shù)化與門模塊/或門/異或門模塊2 2lpm_bustri參數(shù)化三態(tài)緩沖器模塊3 3lpm_clshift參數(shù)化組合邏輯轉(zhuǎn)化模塊4 4lpm_constant參數(shù)化常數(shù)發(fā)生器模塊5 5lpm_decode參數(shù)化解碼器模塊6 6lpm_inv參數(shù)化反向器模塊7 7lpm_mux參數(shù)化多路轉(zhuǎn)化器模塊一、參數(shù)可設(shè)置模塊庫(續(xù)一、參數(shù)可設(shè)置模塊庫(續(xù)4 4)uI/OI/O組件組件序號序號模塊名稱模塊名稱功能描述功能描述1alt4gxb千兆位收發(fā)器模塊2altdq數(shù)據(jù)濾波模塊3altdqs參數(shù)化的雙向數(shù)據(jù)濾波器模塊4altlvds_rx低電壓差分信號接收器5al

6、tlvds_tx低電壓差分信號發(fā)送器6altpll參數(shù)化的鎖相環(huán)模塊一、參數(shù)可設(shè)置模塊庫(續(xù)一、參數(shù)可設(shè)置模塊庫(續(xù)5 5)u存儲組件存儲組件序號序號模塊名稱模塊名稱功能描述功能描述1lpm_dff參數(shù)化D觸發(fā)器和移位寄存器模塊2lpm_ff參數(shù)化觸發(fā)器宏模塊3lpm_fifo參數(shù)化單時鐘FIFO宏模塊4lpm_fifo_dc參數(shù)化雙時鐘FIFO宏模塊5lpm_latch參數(shù)化鎖存器宏模塊6lpm_ram_dp參數(shù)化雙端口RAM模塊7lpm_rom參數(shù)化ROM宏模塊一、參數(shù)可設(shè)置模塊庫(續(xù)一、參數(shù)可設(shè)置模塊庫(續(xù)6 6)2 2、調(diào)用宏功能模塊的方法、調(diào)用宏功能模塊的方法也可通過菜單也可通過菜單

7、ToolsToolsMegaWizard Plug-In ManagerMegaWizard Plug-In Manager新建原理圖編輯文件,雙新建原理圖編輯文件,雙擊打開擊打開SymbolSymbol對話框調(diào)用對話框調(diào)用二、利用二、利用lpm-romlpm-rom設(shè)計一個乘法器設(shè)計一個乘法器1 1、ROMROM工作原理工作原理u由地址線(由地址線(adderssadderss)數(shù)量確定存儲單元個數(shù))數(shù)量確定存儲單元個數(shù), ,如:如:8 8根地址根地址線線addressaddress(7.07.0),共有),共有256256個存儲單元個存儲單元u每個存儲單元能夠保存一定位數(shù)的二進(jìn)制數(shù),成為每

8、個存儲單元能夠保存一定位數(shù)的二進(jìn)制數(shù),成為數(shù)據(jù)位數(shù)據(jù)位寬寬2 2、實驗原理、實驗原理u 寫存儲單元時,地址和數(shù)據(jù)的表達(dá)形式寫存儲單元時,地址和數(shù)據(jù)的表達(dá)形式 地址:數(shù)據(jù)地址:數(shù)據(jù)0010,1000 : 0001,1000 8位地位地址低址低4位位8位地址位地址高高4位位存儲的存儲的數(shù)據(jù)數(shù)據(jù)乘數(shù)乘數(shù)a=2乘數(shù)乘數(shù)b=8乘積乘積C=16二、利用二、利用lpm-romlpm-rom設(shè)計一個乘法器設(shè)計一個乘法器u設(shè)置存儲單元數(shù)目與數(shù)據(jù)寬度設(shè)置存儲單元數(shù)目與數(shù)據(jù)寬度存儲單存儲單元數(shù)目元數(shù)目數(shù)據(jù)位數(shù)據(jù)位寬寬二、利用二、利用lpm-romlpm-rom設(shè)計一個乘法器設(shè)計一個乘法器3、實驗步驟、實驗步驟u配置

9、乘法表數(shù)據(jù)文件配置乘法表數(shù)據(jù)文件FileNewMemory FilesMemory Initialization File(或者(或者Hexadecimal Intel Format File)二、利用二、利用lpm-romlpm-rom設(shè)計一個乘法器設(shè)計一個乘法器u設(shè)置數(shù)據(jù)文件顯示格式設(shè)置數(shù)據(jù)文件顯示格式ViewCells Per Row改變表格每行改變表格每行顯示單元個數(shù)顯示單元個數(shù)地址顯示進(jìn)制地址顯示進(jìn)制存儲數(shù)據(jù)顯示存儲數(shù)據(jù)顯示進(jìn)制進(jìn)制二、利用二、利用lpm-romlpm-rom設(shè)計一個乘法器設(shè)計一個乘法器u完成數(shù)據(jù)文件(九九乘法表)完成數(shù)據(jù)文件(九九乘法表)保存,文件名保存,文件名ro

10、mdata.hexromdata.hex地址低地址低4位,位,十六進(jìn)制表示十六進(jìn)制表示9地址高地址高4位,位,十六進(jìn)制表示十六進(jìn)制表示9存儲數(shù)據(jù)存儲數(shù)據(jù)9 9=81二、利用二、利用lpm-romlpm-rom設(shè)計一個乘法器設(shè)計一個乘法器u定制定制lpm_romlpm_rom元件元件ToolsMegaWizard Plug-In Manager二、利用二、利用lpm-romlpm-rom設(shè)計一個乘法器設(shè)計一個乘法器u選擇元件及保存位置等選擇元件及保存位置等二、利用二、利用lpm-romlpm-rom設(shè)計一個乘法器設(shè)計一個乘法器u設(shè)置設(shè)置lpm_romlpm_rom參數(shù)參數(shù)數(shù)據(jù)數(shù)據(jù)位寬位寬存儲單存

11、儲單元數(shù)目元數(shù)目二、利用二、利用lpm-romlpm-rom設(shè)計一個乘法器設(shè)計一個乘法器u設(shè)置設(shè)置lpm_romlpm_rom參數(shù)(續(xù))參數(shù)(續(xù))是否添加是否添加使能信號使能信號是否添加是否添加清零信號清零信號本例中可以不選擇使本例中可以不選擇使能信號和清零信號,能信號和清零信號,直接直接NEXT進(jìn)入下一進(jìn)入下一步設(shè)置步設(shè)置二、利用二、利用lpm-romlpm-rom設(shè)計一個乘法器設(shè)計一個乘法器u設(shè)置設(shè)置lpm_romlpm_rom參數(shù)(續(xù))參數(shù)(續(xù))單擊單擊Browse選擇選擇數(shù)據(jù)文數(shù)據(jù)文件件二、利用二、利用lpm-romlpm-rom設(shè)計一個乘法器設(shè)計一個乘法器u完成完成lpm_romlp

12、m_rom設(shè)置設(shè)置添加定制添加定制結(jié)果到當(dāng)結(jié)果到當(dāng)前工程中前工程中二、利用二、利用lpm-romlpm-rom設(shè)計一個乘法器設(shè)計一個乘法器u頂層文件的設(shè)計頂層文件的設(shè)計 兩種形式:兩種形式:VHDLVHDL語言或者原理圖調(diào)用語言或者原理圖調(diào)用lpm_romlpm_rom模塊模塊例例1:采用:采用VHDL語言語言library ieee;use ieee.std_logic_1164.all;entity multip is -定義頂層實體 port(clk :in std_logic; a :in std_logic_vector(3 downto 0); -乘數(shù)a,即rom地址低4位 b :

13、in std_logic_vector(3 downto 0); -乘數(shù)b,即rom地址高4位 q :out std_logic_vector(7 downto 0); -乘積q ,即存儲單元內(nèi)存儲數(shù)據(jù)為abend;architecture one of multip iscomponent rom0 -調(diào)用rom0,即rom0.vhd聲明 port(address :in std_logic_vector(7 downto 0); clock :in std_logic; q :out std_logic_vector(7 downto 0);end component;beginu1:ro

14、m0 port map (clock=clk,address(7 downto 4)=b,address(3 downto 0)=a,q=q); end;二、利用二、利用lpm-romlpm-rom設(shè)計一個乘法器設(shè)計一個乘法器例例2:采用原理圖:采用原理圖需要在打開需要在打開rom0.vhd文件的情況下,選擇文件的情況下,選擇FileCreate/UpdateCreate Symbol Files for Current File,將其轉(zhuǎn)化為原理圖元件,將其轉(zhuǎn)化為原理圖元件總線形式結(jié)構(gòu)總線形式結(jié)構(gòu)注意:另存為與rom0不同名的文件名即可!二、利用二、利用lpm-romlpm-rom設(shè)計一個乘法

15、器設(shè)計一個乘法器u波形仿真波形仿真新建波形文件新建波形文件調(diào)入節(jié)點端口調(diào)入節(jié)點端口設(shè)置仿真激勵(過程同全設(shè)置仿真激勵(過程同全加器波形仿真)加器波形仿真) 設(shè)置時鐘信號設(shè)置時鐘信號時鐘周期時鐘周期設(shè)置設(shè)置二、利用二、利用lpm-romlpm-rom設(shè)計一個乘法器設(shè)計一個乘法器u波形仿真(續(xù))波形仿真(續(xù)) 設(shè)置乘數(shù)、被乘數(shù)設(shè)置乘數(shù)、被乘數(shù) 選擇數(shù)選擇數(shù)制制 設(shè)置設(shè)置數(shù)值數(shù)值 取消數(shù)值加取消數(shù)值加1操作操作二、利用二、利用lpm-romlpm-rom設(shè)計一個乘法器設(shè)計一個乘法器u波形仿真(續(xù))波形仿真(續(xù)) 設(shè)置完成后保存波形文件,仿真設(shè)置完成后保存波形文件,仿真u硬件驗證硬件驗證: :用用8 8個按鍵來表示乘數(shù)和被乘數(shù)(高個按鍵來表示乘數(shù)和被乘數(shù)(高4 4位和低位和低4 4位)位)如如2 2* *3 3,0010 00110010 0011,用,用8 8個個LEDLED表示乘機,表示乘機,00000110 00000110 。 該該部分請同學(xué)們自行完成部分請同學(xué)們自行完成三、利用三、利用lpm_multilpm_multi設(shè)計一個設(shè)計一個8 8位有位有符號乘法器符號乘法器序號序號端口名稱端口名稱功能描述功能描述1 1dataa被乘

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論