EDA簡(jiǎn)易音樂(lè)播放器方案設(shè)計(jì)書(shū)_第1頁(yè)
EDA簡(jiǎn)易音樂(lè)播放器方案設(shè)計(jì)書(shū)_第2頁(yè)
已閱讀5頁(yè),還剩19頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、封面作者: PanHongliang僅供個(gè)人學(xué)習(xí)1引言3目錄EDA 課程設(shè)計(jì)(注:EDA 即電子設(shè)計(jì)自動(dòng)化,Electronics Design2設(shè)計(jì)任務(wù)及設(shè)計(jì)要求43設(shè)計(jì)原理及總體思路43.1音名與頻率的關(guān)系53.2節(jié)拍控制原理64各單元模塊的設(shè)計(jì)64.1音頻發(fā)生模塊64.1.1模塊引腳64.1.2模塊功能64.1.3 VHDL程序74.2音頻編碼模塊84.2.1模塊引腳84.2.2模塊功能84.2.3 VHDL程序94.3樂(lè)曲儲(chǔ)存模塊94.3.1模塊引腳94.3.2模塊功能94.3.3 VHDL程序104.4控制模塊124.4.1模塊引腳124.4.2模塊功能124.4.3 VHDL程序1

2、24.5小燈控制模塊134.5.1模塊引腳134.5.2模塊功能134.5.3 VHDL程序134.6數(shù)字顯示模塊144.6.1模塊引腳144.6.2模塊功能144.6.3 VHDL程序144.7音譜與音高輸出模塊154.7.1模塊引腳164.7.2模塊功能164.7.3 VHDL程序165總電路設(shè)計(jì)175.1總體原理圖175.2總體功能介紹176設(shè)計(jì)調(diào)試體會(huì)與總結(jié)171 引言6.1各模塊調(diào)試仿真176.1.1音頻編碼模塊186.1.2樂(lè)曲儲(chǔ)存模塊186.1.3控制模塊186.1.4小燈控制模塊186.1.5數(shù)字顯示模塊186.1.6音譜與音高輸出6.2總體硬件驗(yàn)證196.2.1管腳鎖定196

3、.2.2硬件驗(yàn)證196.2.3分析196.3體會(huì)總結(jié)20參考文獻(xiàn)2019Automation )是繼模擬電子技術(shù)基礎(chǔ)、數(shù)字電子技術(shù)基礎(chǔ)、電子技 術(shù)基礎(chǔ)實(shí)驗(yàn)課程后,電氣類、自控類和電子類等專業(yè)學(xué)生在電子技術(shù)實(shí)驗(yàn)技 能方面綜合性質(zhì)的實(shí)驗(yàn)訓(xùn)練課程,是電子技術(shù)基礎(chǔ)的一個(gè)部分,其目的和任務(wù) 是通過(guò)一周的時(shí)間,讓學(xué)生掌握 EDA 的基本方法,熟悉一種 EDA 軟件,并能利 用 EDA 軟件設(shè)計(jì)一個(gè)電子技術(shù)綜合問(wèn)題,并在實(shí)驗(yàn)箱上成功下載,為以后進(jìn)行 工程實(shí)際問(wèn)題的研究打下設(shè)計(jì)基礎(chǔ)。1. 通過(guò)課程設(shè)計(jì)使學(xué)生能熟練掌握一種EDA 軟件的使用方法,能熟練進(jìn)行設(shè)計(jì)輸入、編譯、管腳分配、下載等過(guò)程。2. 通過(guò)課程設(shè)計(jì)

4、使學(xué)生能利用 EDA 軟件進(jìn)行至少一個(gè)電子技術(shù)綜合問(wèn)題的 設(shè)計(jì),設(shè)計(jì)輸入可采用圖形輸入法或 AHDL 硬件描述語(yǔ)言輸入法。3通過(guò)課程設(shè)計(jì)使學(xué)生初步具有分析尋找和排除電子電路中常見(jiàn)故障的能 力。4通過(guò)課程設(shè)計(jì)使學(xué)生能獨(dú)立寫出嚴(yán)謹(jǐn)?shù)摹⒂欣碚摳鶕?jù)的、實(shí)事求是的、 文理通順的字跡端正的課程設(shè)計(jì)報(bào)告。2設(shè)計(jì)任務(wù)及設(shè)計(jì)要求設(shè)計(jì)一個(gè)簡(jiǎn)易硬件播放器并能播放多首音樂(lè)(最少四首),可通過(guò)按鍵手 動(dòng)控制音樂(lè)播放。在播放音樂(lè)的同時(shí)可實(shí)現(xiàn)音譜與音高的顯示,并通過(guò) 16 個(gè) LED 小燈顯示不同音調(diào)的變化。使用 VHDL 語(yǔ)言設(shè)計(jì)音調(diào)發(fā)生模塊,音調(diào)編碼模塊,樂(lè)曲存儲(chǔ)模塊,控制模 塊,小燈控制模塊,數(shù)字顯示模塊,音譜與音高

5、輸出模塊等各個(gè)模塊。3設(shè)計(jì)原理及總體思路產(chǎn)生音樂(lè)的兩個(gè)因素是音樂(lè)頻率和音樂(lè)的持續(xù)時(shí)間,以純硬件完成演奏電 路比利用微處理器(CPU 來(lái)實(shí)現(xiàn)樂(lè)曲演奏要復(fù)雜的多如果不借助于功能強(qiáng)大的 EDA 工具和硬件描述語(yǔ)言,憑借傳統(tǒng)的數(shù)字邏輯技術(shù),即使最簡(jiǎn)單的演奏電路 也難以實(shí)現(xiàn)。根據(jù)設(shè)計(jì)要求,樂(lè)曲硬件演奏電路系統(tǒng)主要由音頻發(fā)生模塊和樂(lè) 曲存儲(chǔ)模塊組成。音頻發(fā)生模塊對(duì) FPGA 勺基準(zhǔn)頻率進(jìn)行分頻,得到與各個(gè)音階 對(duì)應(yīng)的頻率輸出。樂(lè)曲存儲(chǔ)模塊產(chǎn)生節(jié)拍控制和音階選擇信號(hào),即在此模塊中 可存放一個(gè)樂(lè)曲曲譜真值表,由一個(gè)計(jì)數(shù)器來(lái)控制此真值表的輸出,而由計(jì)數(shù) 器的計(jì)數(shù)時(shí)鐘信號(hào)作為樂(lè)曲節(jié)拍控制信號(hào)。3.1音名與頻率的關(guān)

6、系音樂(lè)的十二平均率規(guī)定:每?jī)蓚€(gè)八度音(如簡(jiǎn)譜中的中音1 與高音 1)之間的頻率相差一倍在兩個(gè)八度音之間,又可分為十二個(gè)半音,每?jī)蓚€(gè)半音的 頻率比為4。另外,音名 A (簡(jiǎn)譜中的低音 6)的頻率為 440Hz 音名 B 到 C 之 間,E 到 F 之間為半音,其余為全音,由此可以計(jì)算出簡(jiǎn)譜中從低音1 到高音 1之間每個(gè)音名的頻率如表 3-1 所示。表 3-1 簡(jiǎn)譜中的音名與頻率的關(guān)系音名頻率/Hz音名頻率/Hz音名頻率/Hz低音 1 :261.63中音 1532.25咼音 11046.50低音 2293.67中音 2587.33咼音 21174.66低音 3329.63中音 3659.25咼音

7、31318.51低音 4349.23中音 4698.46咼音 41396.92低音 5391.99中音 5783.99咼音 51567.98低音 6440.00中音 6880.00咼音 61760.00低音 7493.88中音 7987.76咼音 71975.52由于音階頻率多為非整數(shù),而分頻系數(shù)又不能為小數(shù),故必須將得到的分 頻數(shù)四舍五入取整。若基準(zhǔn)頻率過(guò)低,則由于分頻系數(shù)過(guò)小,四舍五入取整后 的誤差較大,若基準(zhǔn)頻率過(guò)高,雖然誤碼差變小,但分頻結(jié)構(gòu)將變大。實(shí)際的 設(shè)計(jì)應(yīng)綜合考慮兩方面的因素,在盡量減小頻率誤差的前提下取舍合適的基準(zhǔn)頻 率。本例中選取 12MHZ 勺基準(zhǔn)頻率,若無(wú) 12MHz

8、的時(shí)鐘頻率,實(shí)際上,只要各個(gè) 音名間的相對(duì)品頻率關(guān)系不變,C作 1 與 D 作 1 演奏出的音樂(lè)聽(tīng)起來(lái)都不會(huì) “走調(diào)”。各音階頻率及相應(yīng)的分頻系數(shù)如表2 所示。為了減少輸出的偶次諧波分量,最后輸出到揚(yáng)聲器的波形應(yīng)為對(duì)稱方波,因此在到達(dá)揚(yáng)聲器之前,有 一個(gè)二分頻的分頻器。表 3-2 中的分頻系數(shù)就是從 500KHZ 頻率二分頻得到的 250KHZ率基礎(chǔ)上計(jì)算得出的。表 3-2各音階頻率對(duì)應(yīng)的分頻值音名初始值分頻系數(shù)音名初始值分頻系數(shù)低音 17731274中音 11410637低音 29121135中音 21480567低音 310361011中音 31542505低音 51197850中音 51

9、622425低音 61290757中音 61668379低音 71372675咼音 11728319由于最大的分頻系數(shù)為 1274,故采用 13 位二進(jìn)制計(jì)數(shù)器已能滿足分頻要 求。在表 3-2,除給出了分頻比以外,給出了對(duì)應(yīng)于各個(gè)音階頻率時(shí)計(jì)數(shù)器不同 的初始值,對(duì)于樂(lè)曲中的休止符,要將分頻系數(shù)設(shè)為0,即初始值為 2047 即可,此時(shí)揚(yáng)聲器將不會(huì)發(fā)聲。對(duì)于不同的分頻系數(shù),加載不同的初始值即可。3.2節(jié)拍控制原理該演奏電路演奏的樂(lè)曲是“梁?!钡绕?,其最小的節(jié)拍為1 拍。將 1 拍的時(shí)長(zhǎng)定為 0.25 秒,則需要再提供一個(gè) 4Hz 的時(shí)鐘頻率即可產(chǎn)生 1 拍的時(shí)長(zhǎng), 演奏的時(shí)間控制通過(guò)音符的多次重

10、復(fù)的方式來(lái)完成。對(duì)于占用時(shí)間較長(zhǎng)的節(jié)拍, 如全音符為 4 拍 (重復(fù) 4) , 2/4 音符為 2 拍(重復(fù) 2) ,1/4 音符為 1 拍(重復(fù) 1)。由于樂(lè)理規(guī)律對(duì)于任何一首音樂(lè)都是普遍適用的,所以以上原理對(duì)于其他 三首樂(lè)曲同樣適用。4 各單元模塊的設(shè)計(jì)4.1音頻發(fā)生模塊4.1.1模塊引腳圖 4-1 speaker 模塊4.1.2模塊功能如圖 4-1 , en 為使能引腳,當(dāng) en 引腳接高電平時(shí) speaker 模塊使能可正常 工作。clk 為時(shí)鐘信號(hào)引腳,為 speaker 模塊提供時(shí)鐘信號(hào)。 tone10.0為 11位的音調(diào)初始值信號(hào)并行總線,可快速的為 speaker 模塊輸送音調(diào)

11、初始值信 號(hào),保證樂(lè)曲演奏的流暢性。 spks 為電信號(hào)輸出引腳,連接蜂鳴器將電信號(hào)轉(zhuǎn) 化為聲信號(hào)。該模塊中有一個(gè)4 位的計(jì)數(shù)器用于將 12MHz 時(shí)鐘信號(hào)進(jìn)行十二分頻產(chǎn)生 1MHz 的基準(zhǔn)信號(hào)。一個(gè) 11 位的遞增計(jì)數(shù)器用于賦音調(diào)初始值對(duì)基準(zhǔn)信 號(hào)進(jìn)行頻,最后進(jìn)行二分頻產(chǎn)生對(duì)稱方波。初始值 D = 計(jì)數(shù)最大值 N - 分頻系數(shù) n(1)4.1.3 VHDL 程序library ieee 。use ieee.std_logic_1164.all 。entity speaker isport(en : in std_logic 。clk : in std_logic 。tone : in int

12、eger range 0 to 16#7ff#。 -2047spks : out std_logic) 。end speaker 。architecture behav of speaker issignal preclk : std_logic 。signal fullspks : std_logic。begindivideclk: process(clk)-12分頻variable count4 : integer range 0 to 15。beginpreclk11 thenpreclk=1 。 count4:=0 。elsif clkevent and clk=1 thencount

13、4:=count4+1 。end if 。end process 。genspks : process(preclk,tone)-preclk=1MHZ。variable count11 : integer range 0 to 16#7ff#。beginif preclkevent and preclk=1 then -頻率控制if count11=16#7ff# then -b111,1111,1111count11:=tone初始值 D = 計(jì)數(shù)最大值 N - 分頻系數(shù)fullspks=1 。 else count11:=count11+1 。fullspks=0 。 end if 。e

14、nd if 。end process 。delay: process(fullspks)-fullspks=488.2815HZ variable count2 : std_logic 。 beginif fullspksevent and fullspks=1 then count2:=not count2 。if en=0 then spks=0 。 -gaile elsif count2=1 then spks=1 。elsespkstone=2047 。 code=0 。hightone=773 。code=1 。hightone=912 。code=2 。hightone=1036

15、。 code=3 。hightone=1197 。 code=5 。hightone=1290 。 code=6 。hightone=1372 。 code=7 。hightone=1410 。 code=1 。hightone=1480 。 code=2 。hightone=1542 。code=3 。hightone=1622 。code=5 。hightone=1668 。code=6 。hightone=1728 。code=1 。highnull 。 end case 。end process 。end behav 。4.3樂(lè)曲儲(chǔ)存模塊4.3.1模塊引腳圖 4-3 notetabs

16、模塊4.3.2模塊功能如圖 4-3 ,clk 為時(shí)鐘信號(hào)引腳為模塊提供時(shí)鐘信號(hào),clr 為計(jì)數(shù)器清零引 腳,當(dāng) clr為高電平時(shí) notetabs 模塊中的樂(lè)曲播放計(jì)數(shù)器清零。 add1.0 為 2 位的樂(lè)曲地址選擇并行總線,可通過(guò)不同的地址值選擇不同的樂(lè)曲。 cs 為片 選信號(hào)引腳當(dāng) cs 為高電平時(shí)模塊才能正常工作反之無(wú)法工作。 index3.0 為 4 位的音符信號(hào)輸出并行總線,為 tonetaba 模塊和 LED 模塊提供音符信號(hào)。該 模塊中有一個(gè) 8 位的播放計(jì)數(shù)器為樂(lè)譜的連續(xù)查詢提供計(jì)數(shù),當(dāng)達(dá)到一定計(jì)數(shù) 值后自動(dòng)清零實(shí)現(xiàn)同一首樂(lè)曲的重復(fù)播放。4.3.3 VHDL 程序library

17、 ieee 。use ieee.std_logic_1164.all。entity notetabs isport(clk,clr: in std_logic。add : in std_logic_vector(1 downto 0)。cs: in std_logic。index : out integer range 0 to 15)。end notetabs 。architecture behav of notetabs issignal counter : integer range 0 to 255。begincnt8: process(clk,cs)beginif cs=0 then

18、counter=0 。elsif add=00 and counter=138 thencounter=0 。 - 每一首歌都循環(huán)播放elsif add=01 and counter=127 thencounter=0 。elsif add=10 and counter=183 then counter=0 。elsif add=11 and counter=131 thencounter=0 。elsif clr=1 and clrLAST_VALUE=0 thencounter=0 。elsif clkevent and clk=1 thencounterindexindexindexin

19、dexindexindexindexnull 。 end case 。end if 。if add=01 then case counter is when 00=indexindexindexindexindexindexindexnull 。end case 。end if 。if add=10 then case counter is when 00=indexindexindexindexindexindexindexnull 。 end case 。end if 。if add=11 then case counter iswhen 00=indexindexindexindexin

20、dexindexindexnull 。end case 。end if 。end process 。end behav 。4.4控制模塊4.4.1模塊引腳圖 4-4 control 模塊4.4.2模塊功能如圖 4-4 ,switch 為控制引腳當(dāng)其為上升沿時(shí)樂(lè)曲地址自動(dòng)加一,當(dāng)加到 最大值時(shí)自動(dòng)清零。 en 為使能引腳當(dāng)剛 en 引腳為高電平時(shí) outcs 引腳也為高 電平,反之為低電平。 add1.0 為樂(lè)曲地址總線當(dāng) add 為 00 時(shí)選擇第 1 首樂(lè) 曲,為 01 時(shí)選擇第 2 首樂(lè)曲,為 10 時(shí)選擇第 3 首樂(lè)曲,為 11 時(shí)選擇第 4 首樂(lè) 曲 。 outcs 為 notetab

21、s模塊片選控制引腳,當(dāng) outcs 引腳為高電平時(shí)選中 notetabs 模塊。4.4.3 VHDL 程序library ieee 。use ieee.std_logic_1164.all。use ieee.std_logic_unsigned.all。entity control isport(switch,en: in std_logic。add: out std_logic_vector(1 downto 0)。outcs: out std_logic) 。end control 。architecture one of control issignal add_r:std_logic_

22、vector(1 downto 0) beginadd=add_r 。process(switch,en)beginif en=0 thenoutcs=0 。elseoutcs=1 。end if 。if rising_edge(switch) thenadd_r LIGHT LIGHT LIGHT LIGHT LIGHT LIGHT LIGHT LIGHT LIGHT LIGHTLIGHTLIGHTLIGHTLIGHTLIGHTLIGHT=1111111111111111。 END CASE。END PROCESSEND ONE。4.6數(shù)字顯示模塊4.6.1模塊引腳圖 4-6 DELED 模

23、塊4.6.2模塊功能如圖, S3.0 為 4 位的十六進(jìn)制數(shù)字輸入并行總線。 A,B,C,D,E, F,G,H 各引腳分別連接數(shù)碼管的對(duì)應(yīng)段選引腳是數(shù)碼管顯示 S3.0 所輸入的 十六進(jìn)制數(shù)字。4.6.3 VHDL 程序LIBRARY IEEE。USE IEEE.STD_LOGIC_1164.ALL。ENTITY DELED ISPORT(S: IN STD_LOGIC_VECTOR(3 DOWNTO 0。)A,B,C,D,E,F,G,H: OUT STD_LOGIC) 。END DELED。ARCHITECTURE BEHAV OF DELED ISSIGNAL DATA:STD_L0GIC

24、_VECT0R(3 DOWNTQ 0)SIGNAL DOUT:STD_LOGIC_VECTOR(7 DOWNTO 0)BEGINDATAD0UTD0UTD0UTD0UTD0UTD0UTD0UTD0UTD0UTD0UTD0UTD0UTD0UTD0UTD0UTD0UTD0UT=00000000 END CASE。END PR0CESSH=D0UT(7)。G=D0UT(6)F=D0UT(5)。E=D0UT(4)。D=D0UT(3)。C=D0UT(2)。B=D0UT(1)。A=D0UT(0)。END BEHAV4.7音譜與音高輸出模塊4.7.1模塊引腳圖 4-7 SELTIME 模塊4.7.2模塊功能

25、如圖, code3.0 為 4 位的音譜輸入總線,輸入音譜。 high1.0 為 2 位 的音高輸入總線,輸入音高。 clk 為時(shí)鐘輸入引腳。 daout3.0 為 4 位的數(shù)據(jù) 輸出總線,輸出音高和音譜信號(hào)。 sel2.0 為位選輸出總線,輸出數(shù)碼管的位 選。4.7.3 VHDL 程序library ieee 。use ieee.std_logic_1164.all 。use ieee.std_logic_unsigned.all 。entity SELTIME isport(code : in std_logic_vector(3 downto 0)。high : in std_logic

26、_vector(1 downto 0)。clk : in std_logic。daout: out std_logic_vector(3 downto 0) 。 sel : out std_logic_vector(2 downto 0)。end SELTIME。architecture behav of SELTIME issignal sec : std_logic_vector(2 downto 0)。begin process(clk)begin if(clkevent and clk=1) then if(sec=011) then sec=000 。else secdaoutdao

27、utdaoutdaout(3)=0 。daout(2)=0 。 daout(1)=high(1) daout(0)daout=XXXX 。 end case 。end process 。 sel=sec 。end behav 。5 總電路設(shè)計(jì)5.1總體原理圖總體電路原理圖如圖 5-1 。圖 5-1 總體電路原理圖5.2總體功能介紹該電路可以播放最多四首樂(lè)曲,可通過(guò)按按鍵的次數(shù)來(lái)切換曲目,接通電 源后默認(rèn)為播放第一首樂(lè)曲。接通電源后按一次播放第二首樂(lè)曲 ,接通電源后按兩次播放第三首樂(lè) 曲 ,接通電源后按三次播放第四首樂(lè)曲 ,接通電源后按第四次地址歸零播放 第一首樂(lè)曲。每按按鍵四次一個(gè)循環(huán)??芍貜?fù)

28、播放當(dāng)前樂(lè)曲。在播放樂(lè)曲的同時(shí)十六位 的 LED小燈點(diǎn)亮,其點(diǎn)亮的個(gè)數(shù)與音調(diào)對(duì)應(yīng)。四位數(shù)碼管的第一位顯示樂(lè)譜(1 ,2,3,4,5,6,7),第四位顯示音高 (0 (低音), 1(中音), 2(高 音) ,其余位顯示 0。6 設(shè)計(jì)調(diào)試體會(huì)與總結(jié)6.1各模塊調(diào)試仿真6.1.1音頻編碼模塊圖 6-1 tonetaba 模塊仿真波形圖 6-1 中,當(dāng)輸入音符為 2 時(shí)其輸出的音調(diào)初始值信號(hào)為 912,音高為 0 (低音) ,對(duì)應(yīng)的音譜為 2。當(dāng)輸入音符為 9 時(shí)其輸出的分頻系數(shù)為 1480,音高為 1(中音),對(duì)應(yīng)的 音譜為 2。與原理相符合。6.1.2樂(lè)曲儲(chǔ)存模塊圖 6-2 notetabs 模塊

29、仿真波形圖 6-2 中,當(dāng) clr 為高電平時(shí)每首樂(lè)曲的輸出保持不變,當(dāng) cs 為高電平且 clr 為低電平時(shí)模塊正常工作,當(dāng) add1.0 為 2 時(shí)輸出第三首樂(lè)曲的音符。與原理相符合。6.1.3控制模塊圖 6-3 control 模塊仿真波形圖 6-3 中,引腳 en 與引腳 outcs 同步變化當(dāng) en 為高電平時(shí) outcs 同樣為 高電平,反之亦然。當(dāng) switch 經(jīng)歷一次上升沿時(shí) add 自動(dòng)加 1,當(dāng) add 大于 3 時(shí)自動(dòng)歸零,按鍵每按一次 add 繼續(xù)自動(dòng)加一,以此不斷循環(huán)。與原理相符合。6.1.4小燈控制模塊圖 6-4 LED 模塊仿真波形圖 6-4 中,當(dāng) NUM3.

30、0 的輸入為音符 4 時(shí) LIGHT15.0 的輸出的低 5 位 都為高電平,當(dāng) NUM3.0 的輸入為音符 6 時(shí) LIGHT15.0 的輸出的低 7 位都 為高電平,當(dāng) NUM3.0 的輸入為音符 15 時(shí) LIGHT15.0 的輸出的所有位都為 高電平,LED 小燈全點(diǎn)亮。不同的音符對(duì)應(yīng)由低到高的不同的亮燈個(gè)數(shù)。與原理相符合。6.1.5數(shù)字顯示模塊圖 6-5 DELED 模塊仿真波形圖 6-5 中, 當(dāng)十六進(jìn)制 4 為并行線輸入 3 時(shí), A 引腳至 H 引腳分別輸出 1 (高電平) ,1(高電平), 1(高電平), 1(高電平), 0(低電平), 0(低 電平), 1(高電平), 0(

31、低電平),在共陰極數(shù)碼管上顯示為數(shù)字 3。當(dāng)十六進(jìn)制 4 為并行總線輸入 12 時(shí),A 引腳至 H 引腳分別輸出 1 (高電 平), 0(低電平) , 0(低電平), 1 (高電平), 1 (高電平), 1 (高電 平), 0(低電平), 0(低電平),在共陰極數(shù)碼管上顯示為十六進(jìn)制數(shù)字 C。與原理相符合。6.1.6音譜與音高輸出模塊圖 6-6 SELTIME 模塊仿真波形圖 6-6 中,當(dāng)音譜輸入為 2,音高輸入為 1(中音)時(shí)輸出位選 sel 為 0 (第一位數(shù)碼管) ,daout 為 2。當(dāng)音譜輸入為 3,音高輸入為 1(中音)時(shí)輸出 的位選 sel 為3(第四位數(shù)碼管) ,daout

32、為 1 (音高)。與原理相符合。6.2總體硬件驗(yàn)證6.2.1管腳鎖定各引腳對(duì)應(yīng)的目標(biāo)芯片引腳如圖 6-7 所示。圖 6-7 管腳鎖定6.2.2硬件驗(yàn)證如圖 6-8 所示,硬件驗(yàn)證。圖 6-8 硬件驗(yàn)證圖6.2.3分析頂層文件編譯仿真好之后,通過(guò)電腦連接到硬件上,下載頂層文件到 FPGA 里,下載完畢之后通過(guò)選擇按鍵選擇播放的歌曲,當(dāng) add=00 時(shí),播放“梁 ?!?;當(dāng)add=01 時(shí),播放“世上只有媽媽好”;當(dāng) add=10 時(shí),播放“隱形 的翅膀”;當(dāng)add=11時(shí),播放“一剪梅”。聲音流暢悅耳,達(dá)到設(shè)計(jì)功能要 求。6.3體會(huì)總結(jié)通過(guò)本次課程設(shè)計(jì),從實(shí)際應(yīng)用方面深刻體會(huì)了VHDL 設(shè)計(jì)的優(yōu)

33、勢(shì),通過(guò)強(qiáng)大的 EDA 工具和硬件描述語(yǔ)言使演奏電路很易實(shí)現(xiàn)。并且通過(guò)此次設(shè)計(jì)讓我們 把課本中的知識(shí)系統(tǒng)的聯(lián)系起來(lái),更加體會(huì)到模塊式設(shè)計(jì)的方法所帶來(lái)的方便 和明了化。通過(guò)模塊式的方法,可以將復(fù)雜的總程序分成幾個(gè)模塊各自分工執(zhí) 行,獨(dú)立工作互不干擾。然后通過(guò)原理圖將各個(gè)模塊直接相連,或者用元件例 化的方式,用 VHDL 語(yǔ)言進(jìn)行描述,達(dá)到了統(tǒng)一化管理各個(gè)模塊的作用。通過(guò)查找資料,與同學(xué)交流增強(qiáng)了我們自主學(xué)習(xí)的能力;了解到了聲音音 譜的發(fā)生規(guī)律,信號(hào)的頻率與聲音的關(guān)系,并且通過(guò)對(duì)基準(zhǔn)頻率的分頻,來(lái)生 成不同的聲譜。從設(shè)計(jì)方面得知,在進(jìn)行設(shè)計(jì)時(shí),應(yīng)首先對(duì)各方面的資料進(jìn)行 綜合,在基本原理的范圍內(nèi)進(jìn)行

34、模塊式的分解和綜合,最后達(dá)到設(shè)計(jì)的需求。這次設(shè)計(jì)使我對(duì) quartusU的運(yùn)用更加靈活,熟悉了對(duì)該軟件從工程建立 到程序下載執(zhí)行各個(gè)步驟的操作,對(duì)以前學(xué)習(xí)上的不足得到了補(bǔ)充。并且,通過(guò)這次課設(shè),對(duì) EDA 有了更深刻的理解。應(yīng)用更加廣泛,在學(xué)業(yè)上 有了明顯的提高。鍛煉了自己的獨(dú)立思考能力。同學(xué)們有問(wèn)題不能解決時(shí),便 提出共同討論,共同解決??傊?,這次設(shè)計(jì)讓我們學(xué)到了很多知識(shí),為我們以后的學(xué)習(xí)奠定了基礎(chǔ)。參考文獻(xiàn)1潘松,黃繼業(yè).EDA 技術(shù)實(shí)用教程M.北京:科學(xué)出版社,2002.2高歌.電子技術(shù) EDA 仿真設(shè)計(jì)M.出版地:中國(guó)電力出版社,2007.3黃任.VHDL 入門?經(jīng)典實(shí)例?經(jīng)驗(yàn)總結(jié)M.

35、北京航天大學(xué)出版社,2005.4王振紅 , 張常年 . 綜合電子設(shè)計(jì)與實(shí)踐 M. 北京:清華大學(xué)出版社 ,2005.5閻石.數(shù)字電子技術(shù)基礎(chǔ)(第五版)M.出版地:高等教育出版社,2006.6李國(guó)麗,朱維勇,欒銘.EDA 與數(shù)字系統(tǒng)設(shè)計(jì)M.機(jī)械工業(yè)出版社,2004.附錄歌曲名:梁祝000:003。 001:003。002:003。 003:003。 004:005。005:005。006:005007:006 。 008:008。009:008。 010:008。 011:009。012:006。 013:008014:005。015:005。016:012。 017:012。018:012。0

36、19:015。020:013021:012 。 022:010。023:012。 024:009。 025:009。 026:009。 027:009028:009。029:009。030:009。 031:000。032:009。033:009。034:009035:010。036:007。037:007。 038:006。039:006。040:005。041:005042:005 。 043:006。044:008。 045:008。 046:009。 047:009。 048:003049:003。050:008。051:008。 052:006。053:005。054:006。055

37、:008056:005 。 057:005。058:005。 059:005。 060:005。061:005。 062:005063:005 。 064:010 。 065:010。 066:010。 067:012。 068:007。 069:007070:009。071:009。072:006。 073:008。074:005。075:005。076:005077:005 。 078:005。079:005。 080:003。 081:005。 082:003。 083:003084:005。085:006。086:007。 087:007。088:006。089:006。090:006

38、091:006 。 092:006。093:006。 094:005。 095:006。 096:008。 097:008098:008。099:009。100:012。 101:012。102:012。103:010。104:009105:009。106:010。107:009。 108:008。109:008。110:006。111:005112:003 。 113:003。114:003。 115:003。 116:008。117:008。 118:008119:008。120:006。121:008。 122:006。123:005。124:003。125:005126:006 。 1

39、27:008。 128:005 。 129:005。 130:005。 131:005 。132:005133:005 。 134:005 。 135:005。 136:000 。137:000 。138:000 。ooooooooooooooooooo歌曲名:世上只有媽媽好000:013 。001:013 。002:013 。 003:013 。004:013 。005:013 。006:013 。007:012 。008:012 。009:010。 010:010 。011:010 。012:010 。013:012 。014:012 。015:012 。016:012 。 017:015

40、 。018:015 。019:015 。020:015 。021:013 。022:013 。023:012。 024:012 。025:013 。026:013 。027:013 。028:013 。029:013 。030:013。 031:013。 032:013 。033:010 。034:010 。035:010 。036:010 。037:012。 038:012。 039:013。 040:013 。 041:012 。042:012 。 043:012 。 044:012 。 045:010 。 046:010 。 047:010 。 048:010 。049:008 。 05

41、0:008 。051:006 。 052:006。 053:012 。054:012。 055:010 。056:010 。 057:009 。058:009 。 059:009。 060:009 。061:009。 062:009 。063:009 。 064:009 。065:009。 066:009。 067:009 。068:009 。069:009 。070:009 。 071:010 。072:010 。 073:012。 074:012 。075:012。 076:012 。077:012 。 078:012 。079:013。 080:013 。 081:010 。082:01

42、0。 083:010 。084:010 。 085:009 。086:009。 087:009。 088:009 。089:008。 090:008 。091:008 。 092:008 。093:008。 094:008。 095:008 。096:008。 097:012 。098:012 。 099:012 。100:012。 101:012。 102:012 。103:010。 104:010 。105:009 。 106:009 。107:008 。 108:008。 109:006 。110:006。 111:008 。112:008 。 113:005 。114:005。 115

43、:005 。 116:005 。117:005。 118:005 。119:005 。 120:005 。121:005。 122:005。 123:005 。124:005。 125:000 。126:000 。 127:000歌曲名:隱形的翅膀000:010 。 001:010。 002:012 。003:012 。004:015。 005:015。006:015 。007:015 。 008:015。 009:015 。010:015 。011:015。 012:015。 013:015。014:015 。 015:015。016:013 。017:013 。018:012。019:01

44、2。020:013。021:013 。022:015。023:015 。024:010 。025:010。026:009。027:009。028:008 。 029:008。030:008 。031:008 。032:008。 033:008。 034:008。035:008 。 036:008。037:008 。038:015 。039:015。040:015 。041:015。042:013 。 043:013。 044:012 。045:012 。046:010。 047:010。 048:009 。049:009 。 050:008。051:009 。052:009 。053:009。

45、 054:009。 055:009。056:009 。 057:009。058:009 。059:009 。060:010。061:010。062:012。063:012 。 064:015。 065:015 。066:015 。067:015。 068:015。 069:015。070:015 。 071:015。072:015 。073:015 。074:015。075:015。076:013。077:013 。 078:012。 079:012 。080:013 。081:013。 082:015。 083:015。084:010 。 085:010。086:009 。087:009 。

46、088:008。089:008。090:008。091:008 。 092:008。 093:008 。094:008 。095:008。 096:008。 097:008。098:015 。 099:015 。 100:015 。 101:015 。 102:013 。 103:013 。 104:012 。105:012 。106:010 。 107:010 。108:009 。109:009 。110:008。 111:008。112:008 。 113:008。 114:008 。115:008 。116:008 。117:008。 118:008。119:008 。 120:010

47、。 121:010 。122:012 。123:012 。124:015。 125:015。126:015 。 127:015。128:015 。129:015 。130:015 。131:015。132:014。133:014 。 134:014。 135:014 。136:013 。137:013 。138:012。 139:012。140:013 。 141:013。142:015 。143:015 。144:010 。145:010。146:009。147:009 。 148:008。 149:008 。150:008 。151:008 。152:008 。 153:008。154:0

48、08 。 155:008。156:008 。157:008 。158:015 。159:015。160:015。161:015 。 162:013。 163:013 。164:012 。165:012 。166:010。 167:010。168:009 。 169:009。 170:009 。171:009 。172:009 。173:009。 174:009。175:009 。 176:008 。 177:008 。178:008 。179:008 。180:008。 181:008。182:008 。 183:008 。歌曲名:一剪梅000:006 。 001:006 。002:006 。

49、003:006 。004:010 。005:010 。006:010 。007:010 。 008:010 。009:010 。010:009 。011:008 。012:007 。013:007 。014:008 。 015:008 。016:007 。017:007 。018:005 。019:005 。020:006 。021:006 。 022:006 。023:006 。024:006 。025:006 。026:006 。027:006 。028:006 。 029:006 。030:006 。031:006 。032:006 。033:009 。034:006 。035:006

50、。 036:006 。037:007 。 038:007 。 039:007 。 040:07。 041:007。042:007 。 043:006。044:008 。 045:009。 046:009 。 047:009。 048:009。049:010 。 050:012。051:010 。 052:009。 053:010 。 054:010。 055:010。056:010 。 057:010。058:010 。 059:010。 060:010 。 061:010。 062:010。063:010。064:010 。065:010。066:010。067:010。068:012。069:013。070:013。071:013。072:013。073:013。074:013。075

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論