2022年vhdldoc練習(xí)知識要點_第1頁
2022年vhdldoc練習(xí)知識要點_第2頁
2022年vhdldoc練習(xí)知識要點_第3頁
2022年vhdldoc練習(xí)知識要點_第4頁
2022年vhdldoc練習(xí)知識要點_第5頁
已閱讀5頁,還剩11頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認(rèn)領(lǐng)

文檔簡介

1、優(yōu)選文檔1、數(shù)字系統(tǒng)特點 穩(wěn)定性。X性。 可靠性。 模 塊化。2、硬件描述言語:就是可以描述硬件電路的功能,信號 連接關(guān)系及定時關(guān)系的言語。3、VHDL 言語的主要優(yōu)點: 1 是一種多層次的硬件描述 言語,覆蓋面廣,描述能力強 2VHDL 有良好的可讀性 3VHDL 本身的生命期長 4 支持大規(guī)模設(shè)計的分解和已有 設(shè)計的再利用 5VHDL 已成為 IEEE 成認(rèn)的一個工業(yè)標(biāo)準(zhǔn)4、對系統(tǒng)硬件進行設(shè)計:第層次:行為描述。第二層次:RTL方法描述即存放器傳輸描述又稱數(shù)據(jù)流描述。第三層次:邏輯綜合。第三章5、ENTITY 實體名 IS類屬參數(shù)說明 ;端口說明 ;END ENTITY 實體名6、PORT

2、 端口名 ,端口名 :方向 數(shù)據(jù)類型名;端口名 ,端口名 :方向 數(shù)據(jù)類型名;7、端口方向用來定義外部引腳的信號方向是輸入還是 輸出8、在 VHDL 言語中有 10 種數(shù)據(jù)類型,但是在邏輯電路設(shè)計中只用到兩種: BIT 和 BIT_VECTOR9、構(gòu)造體是一個根本設(shè)計單元的實體,它具體地指明了 該根本設(shè)計單元的行為、 元件及內(nèi)部的連接關(guān)系, 也就是 說它定義了設(shè)計單元具體的功能。 構(gòu)造體對其根本設(shè)計單 元的輸入輸出關(guān)系可以用 3種方法進行描述, 即行為描述 根本設(shè)計單元的數(shù)學(xué)模型描述 、存放器傳輸描述數(shù) 據(jù)流描述和結(jié)構(gòu)描述邏輯元件連接描述 。構(gòu)造體是 對實體功能的具體描述,因此它肯定要跟在實體

3、的后面。10、ARCHITECTURE 構(gòu)造體名 OF 實體名 IS 定義語句內(nèi)部信號,常數(shù),數(shù)據(jù)類型,函數(shù)等的定義; BEGIN并行處理語句 ;END ARCHITECTURE 構(gòu)造體名;11、在構(gòu)造體中的語句都是可以并行執(zhí)行的,也就是說, 語句的執(zhí)行不以書寫的語句順序為執(zhí)行順序12、一個構(gòu)造體可以用幾個子結(jié)構(gòu),即相比照擬獨立的幾 個模塊來構(gòu)成。子結(jié)構(gòu)描述語句: BLOCK 語句結(jié)構(gòu) , PROCESS 語句結(jié)構(gòu) , SUBPROGRAMS 結(jié)構(gòu)13、塊結(jié)構(gòu)名:BLOCKBEGINEND BLOCK 塊結(jié)構(gòu)名;BLOCK 語句中所描述的各個語句是可以并行執(zhí)行的, 它和書寫順序無關(guān)14、進程名

4、 :PROCESS 信號 1,信號 2,?ISBEGINEND PROCESS15、在系統(tǒng)仿真時, PROCESS 結(jié)構(gòu)中的語句是按順序一條 一 條向下執(zhí) 行的, 順序執(zhí)行 的語句 只在 PROCESS 和 SUBPROGRAMS 的結(jié)構(gòu)中使用。16、敏感信號量 :只要 PROCESS 中指定的敏感信號量變化 一次,該 PROCESS 語句就會執(zhí)行一遍 .17 SUBPROGRAMS 子程序內(nèi)部的值不能保持,子程序 返回以后才能被再調(diào)用,它是一個非重入的程序。18 、子程序有兩種類型:過程 Procedure 和函數(shù) Function 19、 PROCEDURE過程名參數(shù) 1,參數(shù) 2, ?

5、IS定義語句 ;變量等定義BEGIN順序處理語句 ;過程的語句END 過程名; 過程結(jié)構(gòu)中的語句也是順序執(zhí)行的。20FUNCTION 函數(shù)名參數(shù) 1,參數(shù) 2, ?RETURN數(shù)據(jù)類型優(yōu)選文檔優(yōu)選文檔名 IS優(yōu)選文檔定義語句 ;BEGIN 順序處理語句 ;RETURN 返回變量名 ;END 函數(shù)名 ;通常各種功能的 FUNCTION 語句的程序都被集中在包集合Package中21 多個過程和函數(shù)聚集在一起構(gòu)成包集合,而幾個包集合 聚集在一起就形成一個庫。22 庫 Library 是經(jīng)編譯后的數(shù)據(jù)的集合,它存放包集合 定義、實體定義、構(gòu)造體定義和配置定義。23 在 VHDL 言語中存在的庫大致可

6、以歸納為 5 種: IEEE 庫、 STD 庫、 ASIC 矢量庫、用戶定義的庫和 WORK 庫24 一般在使用庫時首先要用兩條語句對庫進行說明。例如:LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL;25要使用包集合時可以用 USE 語句說明。例如:USE IEEE.STD_LOGIC_1164.ALL;26 包集合的結(jié)構(gòu):PACKAGE 包集合名 IS說明語句 ;END 包集合名;PACKAGE BODY 包集合名 IS說明語句 ;END 包集合名;27 配置 Configuration 語句描述層與層之間的連接關(guān)系 以及實體與結(jié)構(gòu)之間的連接關(guān)系。 設(shè)計者

7、可以利用這種配置 語句來選擇不同的構(gòu)造體,使其與要設(shè)計的實體相對應(yīng)28 最簡單的缺省配置格式結(jié)構(gòu)CONFIGURATION 配置名 OF 實體名 ISFOR 選配構(gòu)造體名END FOR ;END 配置名;第四章29 在 VHDL 言語中但凡可以給予一個值的對象就稱為客體 Object ??腕w主要包含以下 3 種:信號、變量、常數(shù) Signal 、 Variable 、 Constant 。這 3 類客體通常都具有肯 定的物理含義。 例如,信號對應(yīng)地代表物理設(shè)計中的某一條 硬件接線;常數(shù)對應(yīng)地代表數(shù)字電路中的電源和地等。 當(dāng)然, 變量對應(yīng)關(guān)系不太直接,通常只代表暫存某些值的載體。30 常數(shù)是一個

8、固定的值。所謂常數(shù)說明就是對某一常數(shù)名 給予一個固定的值常數(shù)說明的一般格式如下:CONSTANT常數(shù)名: 數(shù)據(jù)類型常數(shù)一旦被賦值就不能再改變, 它不像后面所提到的信號和 變量那樣,可以任意代入不同的數(shù)值。其它,常數(shù)所賦的值 應(yīng)和定義的數(shù)據(jù)類型一致。例如:CONSTANT Vcc:REAL:=“ 0101”; 這樣的常數(shù)說明顯然是錯誤的。31 變量只能在進程語句、函數(shù)語句和過程語句結(jié)構(gòu)中使用, 它是一個局部量。在仿真過程中,它不像信號那樣,到了規(guī) 定的仿真時間才進行賦值, 變量的賦值是馬上生效的。 變量 說明語句的格式為:VARIABLE 變量名:數(shù)據(jù)類型約束條件 := 表達式;變量在賦值時不能

9、產(chǎn)生附加延時。 下式產(chǎn)生延時的方法時不 合法的:tmp3:=tmp1+tmp2 AFTER 10ns;32 信號是電子電路內(nèi)部硬件連接的抽象,信號說明語句格 式為:SIGNAL 信號名:數(shù)據(jù)類型 約束條件 := 表達式; 信號值的代入采納 “<=代入符,而不是像變量賦值時用“ :=符。而且信號代入時可以附加延時。信號時一個全局 量,它可以用來進行進程之間的通信。33 信號與端口的區(qū)別:除沒有方向說明外,信號與 實體的“端口 PORT 概念相似。端口是一種有方向的信號。 即輸出端口不能讀出數(shù)據(jù), 只能寫入數(shù)據(jù); 輸入端口不能寫入數(shù)據(jù),只能讀出數(shù)據(jù)。信號本身無方向,可讀可寫。34 信號和變量

10、值代入的區(qū)別在變量的賦值語句中, 該語句一旦被執(zhí)行, 其值馬上被給 予變量。 在執(zhí)行下一條語句時, 該變量的值就為上一句新賦 的值信號代入語句采納 “<=代入符,該語句即使被執(zhí)行也不 會使信號馬上發(fā)生代入。 下一條語句執(zhí)行時, 仍使用原來的 信號值。35 由用戶定義的數(shù)據(jù)類型的定義書寫格式為:TYPE 數(shù)據(jù)類型名 ,數(shù)據(jù)類型名 數(shù)據(jù)類型定 義;在 VHDL 言語中還存在不完整的用戶定義的數(shù)據(jù)類 型的書寫格式:TYPE 數(shù)據(jù)類型名 ,數(shù)據(jù)類型名 36VHDL 言語的數(shù)據(jù)類型的定義相當(dāng)嚴(yán)格, 不同類型之間的 數(shù)據(jù)不能直接代入,而且,即使數(shù)據(jù)類型相同,而位長不同 時也不能直接代入。37VHDL

11、 綜合器要求對具體的整數(shù)作出范圍限定, 否則無法 綜合成硬件電路。如: signal s : integer range 0 to 15;38 實數(shù)有正負(fù)數(shù),書寫時肯定要有小數(shù)點。39 在數(shù)字系統(tǒng)中,信號值通常用一個位來表示。位值的表 示方法是,用字符 0或'者 1'將值放在單引號中表示之。 位與整數(shù)中的 1 和 0 不同, 1和'0僅'僅表示一個位的兩種 取值40 位矢量是用雙引號括起來的一組位數(shù)據(jù)41 布爾量具有兩種狀態(tài): false 和 true 常用于邏輯函數(shù),如相等 =、比擬 等中作邏輯比擬。如, bit 值轉(zhuǎn)化成 boolean 值:boolean_

12、var := (bit_var = 1' );42 字符也是一種數(shù)據(jù)類型,所定義的字符量通常用單引號 括起來,如A。一般情況下,VHDL對大小寫不敏感,但 是對字符量中的大、 小寫字符則認(rèn)為是不一樣的。 例如, B' 不同于 b。43 字符串是由雙引號括起來的一個字符序列,它也稱字符 矢量或字符串?dāng)?shù)組44 時間量數(shù)據(jù)包含整數(shù)和單位兩局部,而且整數(shù)和單位之 間至少應(yīng)留一個空格的位置。例如, 55 sec , 2 min 等。45仿真中用來指示系統(tǒng)的工作狀態(tài),共有四種:NOTE注意、WARNING 警告、ERROR 出錯、 FAILURE 失敗46 這兩類數(shù)據(jù)是整數(shù)的子類, Nat

13、ural 類數(shù)據(jù)只能取值 0 和0 以上的正整數(shù);而 Positive 類數(shù)據(jù)則只能為正整數(shù)。 47VHDL 言語屬于強類型言語, 在仿真過程中, 首先要檢查 賦值語句中的類型和區(qū)間, 任何一個信號和變量的賦值均必 須落入給定的約束區(qū)間中, 也就是說要落入有效數(shù)值的范圍 中。約束區(qū)間的說明通常跟在數(shù)據(jù)類型說明的后面。例如:INTEGER RANGE 100 DOWNTO 1BIT_VECTOR 3 DOWNTO 0 REAL RANGE 2.0 TO 30.0 ;這里 DOWNTO 表示下降;而TO 表示上升48 枚舉類型數(shù)據(jù)的定義格式為:TYPE 數(shù)據(jù)類型名 IS元素,元素,;49 整數(shù)或?qū)?/p>

14、數(shù)用戶定義數(shù)據(jù)類型的格式為:TYPE 數(shù)據(jù)類型名 IS 數(shù)據(jù)類型定義 約束 范圍;50 限定數(shù)組:其索引范圍有肯定的限制。格式: TYPE 數(shù)據(jù)類型名 IS ARRAY 范圍 OF 原 數(shù)據(jù)類型名;51 非限定數(shù)組:數(shù)組索引范圍被定義成一個類型范圍。格式:TYPE數(shù)據(jù)類型名 IS ARRAY (類型名稱RANGE<> OF 原數(shù)據(jù)類型名52 表示時間的數(shù)據(jù)類型,在仿真時是必不可少的,其書寫 格式為:TYPE 數(shù)據(jù)類型名 IS 范圍;UNITS 根本單位;單位;END UNITS ;53 數(shù)組是同一類型數(shù)據(jù)集合起來形成的,而記錄則是將不 同類型的數(shù)據(jù)和數(shù)據(jù)名組織在一起而形成的新客體。

15、 記錄數(shù) 據(jù)類型的定義格式為:TYPE 數(shù)據(jù)類型名 IS RECORD元素名:數(shù)據(jù)類型名;元素名:數(shù)據(jù)類型名;END RECORD ;54 用戶定義的子類型是用戶對已定義的數(shù)據(jù)類型,作一些 范圍限制而形成的一種新的數(shù)據(jù)類型。 子類型定義的一般格 式為:SUBTYPE 子類型名 IS 數(shù)據(jù)類型名 范圍; 子類型可以對原數(shù)據(jù)類型指定范圍而形成, 也可以完全和原 數(shù)據(jù)類型范圍一致 55STD_LOGIC 型數(shù)據(jù)可以具有如下的 9 種不同的值: U-' 初始值; X-' 不定; 0-' 0 ; 1-' 1 ; Z-' 高阻; W' 弱信號不定 L-

16、9; 弱信號 0; H-'弱信號 1; -' 不可能情況。56VHDL 言語中共有 4 類操作符,可以分別進行邏輯運算 Logical 、關(guān)系運算 Relational 、算術(shù)運算 Arithmetic 和并置運算 Concatenation 。被操作符所操作的對象是操 作數(shù),且操作數(shù)的類型應(yīng)該和操作符所要求的類型相一致。 運算操作符是有優(yōu)先級的,例如邏輯運算符 NOT ,在全部 操作符中其優(yōu)先級 X。操作符的優(yōu)先級請同學(xué)們參看書上 68頁的表格57邏輯運算符:NOT取反、AND與、OR或、NAND 與非、NOR或非、XOR異或。運算符的左邊和右 邊,以及代入的信號的數(shù)據(jù)類型必

17、須是相同的。注:當(dāng)有兩個以上的邏輯表達式時,左右沒有優(yōu)先級的差異, 因此必須使用括號,如:X<=(a AND b) OR (NOT c AND d);當(dāng)然也有例外,當(dāng)邏輯表達式中只有“ AND',“OR :“ XOR運算符時,可以省略括號。例如:a<=b AND c AND d AND e;a<=b OR c OR d OR e;a<=b XOR c XOR d XOR e;a<=(b NAND c) NAND d) NAND e; 必需要括號a<=(b AND c) OR (d AND e); 必需要括號 在全部邏輯運算符中 NOT 的優(yōu)先級 X。

18、58算術(shù)運算符共有10種,分別是:+加,-減,x乘, /除, MOD 求模 , REM 取余 , +正一元運算 , 負(fù) 一元運算x x指數(shù),ABS取絕對值。 一元運算的操作數(shù)正、負(fù)可以為任何數(shù)值類型整數(shù)、 實數(shù)、物理量 。 (2)加法和減法的操作數(shù)也可以為任意數(shù)值 類型,但應(yīng)有相同的數(shù)據(jù)類型。 (3)乘除法的操作數(shù)可以同為 整數(shù)和實數(shù)。 (4)求模和取余的操作數(shù)必須是同一整數(shù)類型數(shù) 據(jù)。 (5)一個指數(shù)的運算符的左操作數(shù)可以是任意整數(shù)或?qū)?數(shù),而右操作數(shù)應(yīng)為一整數(shù)。59關(guān)系運算符共有 6 種,它們分別是: =等于, /=不等 于, 小于, = 小于等于 , 大于, =大于等 于。在進行關(guān)系運算

19、時,左右兩邊的操作數(shù)的數(shù)據(jù)類型必 須相同,但是位長度不肯定相同,但需注意:在利用關(guān)系運算符對位矢量數(shù)據(jù)進行比擬時, 比擬過 程是從最左邊的位開始, 自左至右按位進行比擬的。 在位長 不同的情況下, 只能按自左至右的比擬結(jié)果作為關(guān)系運算的 結(jié)果。關(guān)系運算符中小于等于符 “=和代入符 “=是相同的, 在讀 VHDL 言語的語句時, 60 應(yīng)按照上下文關(guān)系來推斷此 符號到底時關(guān)系符還是代入符。61 一種并置操作符 : ,用來進行位和位矢量的連接運算 , 將并置操作符右邊的內(nèi)容接在左邊的內(nèi)容之后以形成一個 新的位矢量 . 并置運算還可以用聚合連接的方法, ,。 聚合連接就是 :將上面直接連接中的并置操

20、作符換成逗號 然后再使用括號將連接的位括起來。例 2:SIGNAL a, b, c, d: std _logic;SIGNAL q: std_logic_ vector (4 DOWNTO 0); q<=a&b&c&d&a;假設(shè)采納聚合連接的方法 , 那么可以寫成 :q <= (a, b, c, d, a);第五章62 代入語句:最普遍的格式為:信號量 <=敏感信號量表達 式;例如: z<=a NOR (b AND c);63VHDL 中存在兩種延時類型: 慣性延時和傳輸延時, 幾乎 全部器件都存在慣性延時 硬件電路的設(shè)計人員為了逼真地仿真硬件電路的實際工作 情況,在代入語句中總要加上慣性延時時間的說明。例如: b<=a AFTER 10ns; VHDL 言語中,傳輸延時不是缺省的, 必須在語句中明確說明。具有傳輸延時的代入語句如下所 示:b<=TRANSPORT a AFTER

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論