基于51單片機(jī)的紅外遙控水溫控制系統(tǒng)的設(shè)計(jì)_第1頁(yè)
基于51單片機(jī)的紅外遙控水溫控制系統(tǒng)的設(shè)計(jì)_第2頁(yè)
基于51單片機(jī)的紅外遙控水溫控制系統(tǒng)的設(shè)計(jì)_第3頁(yè)
基于51單片機(jī)的紅外遙控水溫控制系統(tǒng)的設(shè)計(jì)_第4頁(yè)
基于51單片機(jī)的紅外遙控水溫控制系統(tǒng)的設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩7頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、.【摘要】本設(shè)計(jì)基于AT89C51單片機(jī)為控制核心,片外配合紅外線遙控模塊、水溫加熱模塊開(kāi)關(guān)、基于Dallas單線數(shù)字式的DS18B20溫度傳感器模塊、蜂鳴器報(bào)警模塊、按鍵模塊、LCD1602液晶顯示器模塊、晶振電路模塊、復(fù)位電路模塊以及電源模塊為一體構(gòu)成無(wú)線水溫控制系統(tǒng)。本水溫控制系統(tǒng)設(shè)計(jì)采用自上而下的模塊化設(shè)計(jì),具有形象直觀、操作簡(jiǎn)單、結(jié)構(gòu)緊湊、溫度控制靈活等優(yōu)點(diǎn)。本系統(tǒng)能夠?qū)λ疁剡M(jìn)行實(shí)時(shí)并且快速地溫度采集、溫度值顯示、超溫報(bào)警以及加熱等功能,并且能夠通過(guò)紅外線遙控器實(shí)現(xiàn)對(duì)溫度值的設(shè)定。經(jīng)過(guò)大量實(shí)驗(yàn)測(cè)試,本次設(shè)計(jì)的系統(tǒng)通用性強(qiáng)、功能齊全、簡(jiǎn)單實(shí)用,值得在工控領(lǐng)域被大量推廣,它能夠?qū)?shí)現(xiàn)水加

2、熱系統(tǒng)的自動(dòng)化,對(duì)企業(yè)及社會(huì)的發(fā)展具有重要意義?!娟P(guān)鍵詞】:AT89C51,DS18B20溫度傳感器,LCD1602液晶顯示器ABSTRACTThe design is based on AT89C51 single chip microcomputer as control core, chip with infrared remote control module, the temperature of the water heating module switch, based on Dallas digital DS18B20 temperature sensor module, bu

3、zzer alarm module, a key module, LCD1602 liquid crystal display module, crystal oscillator circuit module, reset circuit module and power module are integrated to form a wireless temperature control system. The water temperature control system design uses the modular design from top to bottom, has t

4、he advantages of visual image, simple operation, compact structure, flexible temperature control. The system can real-time temperature and rapid temperature acquisition, temperature display, temperature alarm, heating and other functions, and can realize the setting temperature value through the inf

5、rared remote controller. After a lot of experiments testing, the design of the system has strong universality, complete function, simple and practical, it is worth to be popularized in the field of industrial control, it will be able to realize automatic water heating system, has important significa

6、nce to the development of enterprises and society.【KEY WORD】:AT89C51,DS18B20,LCD1602目 錄一、引言1(一)選題背景1(二) 設(shè)計(jì)意義1(三)設(shè)計(jì)任務(wù)2二、總體方案設(shè)計(jì)3(一)方案的選擇3(二)方案簡(jiǎn)述3三、元器件介紹5(一)AT89C51單片機(jī)5(二)DS18B20溫度傳感器7(三)紅外遙控系統(tǒng)介紹8四、系統(tǒng)硬件設(shè)計(jì)11(一)原理圖描述11(二)DS18B20溫度傳感器模塊12(三)加熱器開(kāi)關(guān)模塊12(四)蜂鳴器報(bào)警模塊12(五)LCD1602液晶顯示器模塊13(六) 按鍵模塊13五、系統(tǒng)軟件設(shè)計(jì)15(一)主程

7、序設(shè)計(jì)15(二)子程序設(shè)計(jì)15總 結(jié)18致 19參考文獻(xiàn)20附錄一 系統(tǒng)原理圖21附錄二 PCB22附錄三 元器件清單23附錄四 系統(tǒng)程序24. v.一、引言(一)選題背景對(duì)于溫度的控制在工業(yè)活動(dòng)中非常普遍,溫度參數(shù)是一個(gè)最常用的被控參數(shù),在化工、食品、燃料以及鋼鐵產(chǎn)業(yè)中都涉及到溫控過(guò)程。由此可見(jiàn),溫控在工業(yè)活動(dòng)中的地位越來(lái)越高,并且隨著科學(xué)技術(shù)的迅速發(fā)展,對(duì)于溫控系統(tǒng)的性能提出了更高的要求,要求其具有更高的精度和更快的速度。隨著工業(yè)環(huán)境的逐漸復(fù)雜,以至于許多場(chǎng)合已經(jīng)不適合實(shí)驗(yàn)人員全程監(jiān)控,于是溫控系統(tǒng)發(fā)生了巨大的改革,在工業(yè)上對(duì)于溫度的控制已經(jīng)不再僅限于較近距離的控制,遠(yuǎn)程溫度控制已經(jīng)在各個(gè)

8、場(chǎng)合迅速發(fā)展,并且得到了各界的廣泛關(guān)注。目前市場(chǎng)上熱水器的控制系統(tǒng)大多存在功能單一、操作復(fù)雜、控制不方便等問(wèn)題,很多控制器只具有溫度和水位顯示功能,不具有溫度控制功能。即使熱水器具有輔助加熱功能,也可能由于加熱時(shí)間不能控制而產(chǎn)生過(guò)燒,從而浪費(fèi)電能。本文設(shè)計(jì)的熱水器控制系統(tǒng)以AT89C51單片機(jī)為檢測(cè)控制中心單元,實(shí)現(xiàn)了溫度顯示和溫度控制等功能。實(shí)際應(yīng)用結(jié)果表明,該控制器和以往顯示儀相比具有性價(jià)比高、溫度控制與顯示精度高、使用方便和性能穩(wěn)定等優(yōu)點(diǎn),提高了我國(guó)水加熱系統(tǒng)的性能,具有可觀的經(jīng)濟(jì)效益和社會(huì)效益。(2) 設(shè)計(jì)意義目前工業(yè)上較為通用的有無(wú)線電和有線網(wǎng)絡(luò)等通信方式的遠(yuǎn)程溫度控制,雖然各種類型

9、在不同場(chǎng)合都得到了廣泛的利用,但是每一種都存在各自的優(yōu)缺點(diǎn)。在無(wú)線通信商,由于不需要布置很復(fù)雜的線路,并且通信質(zhì)量高,不易受到外界干擾,所以它的應(yīng)用場(chǎng)合較有線網(wǎng)絡(luò)較為廣泛。而有線通信由于要通過(guò)長(zhǎng)長(zhǎng)的通信電纜才能傳輸信號(hào),所以在電纜受到損壞時(shí),對(duì)于通信的質(zhì)量將會(huì)帶來(lái)巨大的影響?;谏鲜龇治?,所以本文設(shè)計(jì)了一種基于AT89C51單片機(jī)而實(shí)現(xiàn)的無(wú)線水溫控制系統(tǒng)。本文設(shè)計(jì)的水溫控制系統(tǒng)跟傳統(tǒng)的水溫控制系統(tǒng)相比具有如下優(yōu)勢(shì):1、 具備了一定的智能化元素,可以使得水加熱系統(tǒng)在無(wú)人看管的情況下自行運(yùn)作,這不僅節(jié)省了使用者的大量寶貴時(shí)間,并且水的溫度也相當(dāng)精準(zhǔn);2、 可以通過(guò)紅外線遙控器對(duì)溫度值進(jìn)行設(shè)定,可以

10、靈活的設(shè)定溫度不受距離的約束; 3、采用DS18B20溫度傳感器作為溫度采集裝置,有高達(dá)0.5的精度,比傳統(tǒng)的溫度傳感器使用更加靈活; 4、能夠通過(guò)LCD1602液晶顯示器將溫度數(shù)據(jù)顯示出來(lái),使用者能夠直觀地觀察到水的溫度; 5、水溫控制迅速,對(duì)水溫非常敏感; 6、功耗低,成本低廉。(三)設(shè)計(jì)任務(wù)設(shè)計(jì)一個(gè)紅外線遙控水溫控制系統(tǒng),系統(tǒng)指標(biāo)如下: 1、設(shè)計(jì)一個(gè)水溫控制圍在4090攝氏度的數(shù)字水溫控制系統(tǒng); 2、能夠通過(guò)紅外線遙控器或者終端按鍵靈活設(shè)置溫度; 3、具有超溫報(bào)警功能; 4、溫度數(shù)據(jù)能夠通過(guò)液晶顯示屏顯示出來(lái);二、總體方案設(shè)計(jì)(一)方案的選擇方案一:采用51單片機(jī)作為控制核心,配合紅外線

11、遙控模塊、水溫加熱模塊開(kāi)關(guān)、基于Dallas單線數(shù)字式的DS18B20溫度傳感器模塊、蜂鳴器報(bào)警模塊、按鍵模塊、LCD1602液晶顯示器模塊、晶振電路模塊、復(fù)位電路模塊以及電源模塊為一體構(gòu)成無(wú)線水溫控制系統(tǒng),由于51單片機(jī)再業(yè)界已經(jīng)具備了相當(dāng)成熟的開(kāi)發(fā)技術(shù)并且開(kāi)發(fā)資料豐富,對(duì)于完成本次畢業(yè)設(shè)計(jì)是絕對(duì)有利的,另外該方案成本低廉,模塊分明并且穩(wěn)定性強(qiáng)。方案二:采用FPGA或者CPLD芯片作為控制核心,F(xiàn)PGA就是可編程現(xiàn)場(chǎng)門陣列的英文名稱,它是隨著超大規(guī)模集成電路技術(shù)發(fā)展的一個(gè)產(chǎn)物,部集成了上百萬(wàn)門邏輯器件,通常用VHDL或者Verilog語(yǔ)言對(duì)他進(jìn)行描述,它是一種硬件電路。FPGA的顯著特點(diǎn)是速

12、度遠(yuǎn)高于單片機(jī),其主頻動(dòng)輒上百M(fèi)的速度,是數(shù)字信號(hào)處理的首選,然而本次設(shè)計(jì)的水溫控制系統(tǒng)對(duì)于處理速度沒(méi)有過(guò)高的要求,并且考慮到過(guò)高的數(shù)字信號(hào)會(huì)給整體系統(tǒng)帶來(lái)不穩(wěn)定性,使最終的設(shè)計(jì)可靠性得不到保障。方案三:采用DSP芯片作為控制核心,TI公司生產(chǎn)的TMS320F28335芯片性能優(yōu)良,片集成了大量的常用模塊,如16路AD采樣通道以及高精準(zhǔn)的PWM輸出,是工控領(lǐng)域的新型寵兒,然而其成本較高,對(duì)于此次的設(shè)計(jì)來(lái)說(shuō),無(wú)疑增加額外的負(fù)擔(dān),并且TMS320F28335的優(yōu)秀性能用在本次設(shè)計(jì)中時(shí)大材小用。另外其開(kāi)發(fā)資料片目前較少,不利于系統(tǒng)的開(kāi)發(fā)。 綜合上述三個(gè)方案的分析,本次設(shè)計(jì)采用方案一作為水溫控制系統(tǒng)的

13、最終設(shè)計(jì)方案。(二)方案簡(jiǎn)述本溫控系統(tǒng)采用AT89C51單片機(jī)作為控制核心,它通過(guò)DS18B20溫度傳感器采集環(huán)境中的溫度,并通過(guò)其部的AD實(shí)現(xiàn)模數(shù)轉(zhuǎn)換,通過(guò)其輸出管腳將帶有溫度數(shù)據(jù)的數(shù)字信號(hào)傳送給AT89C51單片機(jī),單片機(jī)得到數(shù)據(jù)后對(duì)數(shù)據(jù)進(jìn)行解碼,然后將得到的溫度值通過(guò)LCD1602液晶顯示出來(lái),與此同時(shí)在AT89C51部,單片機(jī)將采集溫度與設(shè)定溫度進(jìn)行比較,當(dāng)溫度值低于設(shè)定溫度值時(shí),就要關(guān)閉蜂鳴器模塊并且打開(kāi)加熱模塊的開(kāi)關(guān);否則將進(jìn)行超溫報(bào)警并且關(guān)閉加熱系統(tǒng)開(kāi)關(guān)。在溫度值的設(shè)定上,可以通過(guò)按鍵或者紅外線遙控器兩種方式完成,圖2-1為本控制系統(tǒng)的總體框圖。圖2-1 水溫控制系統(tǒng)總框圖三、元

14、器件介紹(一)AT89C51單片機(jī)1.AT89C51單片機(jī)概述AT89C51單片機(jī)的組成如圖3-1所示。圖3-1 AT89C51部結(jié)構(gòu)ATMEL公司出產(chǎn)的AT89C51是一種帶4K字節(jié)FLASH存儲(chǔ)器的單片機(jī),它是一種能在低電壓場(chǎng)合工作的高性能CMOS類型的8位處理器。它部集成的只讀存儲(chǔ)器具有高達(dá)1000多次的可擦除性,這樣好的性能使得它非常適合學(xué)生做實(shí)驗(yàn)。另外這種類型的單片機(jī)已經(jīng)采用了高密度的非易失儲(chǔ)存器的制造技術(shù),AT89C51單片機(jī)的通用IO管腳能夠和工業(yè)上廣泛采用的MCS-51類型的指令集能夠完美兼容。ATMEL公司研發(fā)的這種高效率的微控制器將FLASH和性能強(qiáng)大的8位CPU集成在一個(gè)

15、芯片里使得它在嵌入式領(lǐng)域有著廣泛的應(yīng)用。常用的AT89C2051型單片機(jī)是AT89C51單片機(jī)的精簡(jiǎn)版本?;谏鲜龅奶攸c(diǎn),在很多嵌入式場(chǎng)合,AT89C51單片機(jī)正在發(fā)揮著越來(lái)越多的作用。DIP-40封裝類型AT89C51單片機(jī)的芯片引腳圖如3所示:圖3-2 AT89C51單片機(jī)引腳圖2.振蕩器特性 XTAL1和XTAL2端口分別AT89C51部反向放大器的輸入和輸出管腳??梢詫⑦@個(gè)反向放大器配置為片震蕩器。石英晶振和瓷晶振都可應(yīng)用在此。在用外部的時(shí)鐘源做作為震蕩信號(hào)時(shí),XTAL2不用接。由于進(jìn)入部的時(shí)鐘信號(hào)要經(jīng)二分頻電路處理,所以對(duì)時(shí)鐘信號(hào)的脈寬沒(méi)有嚴(yán)格要求。3.芯片擦除:可以通過(guò)正確的控制信

16、號(hào)組合并且保持ALE管腳處于10ms低電平來(lái)進(jìn)行整個(gè)EPROM陣列和三個(gè)鎖定位的電擦除。當(dāng)EPROM被擦除后,其部陣列將全部被寫(xiě)1。另外,AT89C51具有穩(wěn)態(tài)邏輯的功能,其支持兩種軟件可選的掉電模式,可以在低到零頻率的條件下穩(wěn)定邏輯。在低功耗的閑置模式應(yīng)用下,單片機(jī)部的CPU將停止運(yùn)行,然而其RAM、計(jì)數(shù)器/定時(shí)器、串口和終端系統(tǒng)仍能正常工作。在掉電的模式應(yīng)用下,會(huì)將RAM中的容保存起來(lái),振動(dòng)器將停止工作,片其他模塊也將停止工作,只有等到復(fù)位信號(hào)來(lái)臨時(shí)才能正常工作。4.復(fù)位電路當(dāng)我們使用電腦時(shí)如果出現(xiàn)死機(jī)等異常現(xiàn)象后,我們通常會(huì)選擇重啟電腦,而在單片機(jī)中,如果遇到程序運(yùn)行異常如程序跑飛等現(xiàn)象

17、時(shí),該如何是好呢.同樣的,在構(gòu)建單片機(jī)最小系統(tǒng)時(shí),我們通常會(huì)配置一個(gè)復(fù)位電路,如下圖所示,它的功能就如同電腦的重啟。在51單片機(jī)中,只要在其9號(hào)管腳保持2us的高電平,就可以使其被“重新啟動(dòng)”。在給51最小系統(tǒng)上電的瞬間,系統(tǒng)自動(dòng)被復(fù)位一次,如果按動(dòng)S10按鍵一次,系統(tǒng)也會(huì)被復(fù)位。如3-3所示:圖3-3 復(fù)位電路模塊(二)DS18B20溫度傳感器DS18B20溫度傳感器有不銹鋼封裝式、磁鐵吸附式、管道式以及螺紋式等封裝,其型號(hào)有LTM8877,LTM8874等,在多種場(chǎng)合有廣泛應(yīng)用,其輸出信號(hào)為數(shù)字信號(hào),使用非常方便。在不同的場(chǎng)合下,DS18B20的外觀不同,也正是由于其外觀多樣,它才能廣泛應(yīng)

18、用,在潔凈室測(cè)溫、彈藥庫(kù)測(cè)溫、機(jī)房測(cè)溫、農(nóng)業(yè)大棚測(cè)溫、電纜溝測(cè)溫、高爐水循環(huán)測(cè)溫、鍋爐測(cè)溫等各種非極限溫度場(chǎng)合,DS18B20發(fā)揮著重要的作用。它的體積小、耐磨耐碰、封裝多樣并且使用方便,適用于各種狹小空間設(shè)備數(shù)字測(cè)溫和控制領(lǐng)域。如3-4所示:圖3-4 DS18B20數(shù)字溫度傳感器部結(jié)構(gòu)(三)紅外遙控系統(tǒng)介紹紅外線也是電磁波的一種,它又被稱之為紅外光波,它的波長(zhǎng)處于0.01um1000um圍。光波的可見(jiàn)性由其波長(zhǎng)決定,可見(jiàn)光的波長(zhǎng)圍為0.38um0.76um,此圍的光波顏色依次為紅、橙、黃、綠、青、藍(lán)、紫七種顏色,在此段光譜的左邊是波長(zhǎng)為0.01um0.38um的光波為紫外光,右邊是波長(zhǎng)為0.

19、76um1000um的光波為紅外光。按照波長(zhǎng)可將紅外光分為近紅外、中紅外、遠(yuǎn)紅外、極紅外四種類型。紅外線遙控器通過(guò)波長(zhǎng)為0.76um1.5um的近紅外光發(fā)送其指令,由于目前紅外接收器件(光敏二極管、三極管及光電池)和目前紅外發(fā)射器件(紅外發(fā)光管)的受光和發(fā)光峰值波長(zhǎng)一般為0.8um0.94um,兩者能夠良好的匹配,所以一般用近紅外光發(fā)射遙控的指令。紅外線遙控系統(tǒng)主要由調(diào)制模塊、發(fā)射模塊和接收模塊三個(gè)部分組成,如圖3-5所示。圖3-5 紅外遙控系統(tǒng)結(jié)構(gòu)圖1.調(diào)制模塊 紅外線遙控發(fā)射的數(shù)據(jù)是通過(guò)一定方式的調(diào)制后發(fā)出的,即把待發(fā)射的數(shù)據(jù)與載波進(jìn)行與運(yùn)算,這樣既能提高數(shù)據(jù)的準(zhǔn)確性又能提高電源的使用效率

20、。通常使用的載波頻率在30kHz至60kHz之間,38kHz是較常使用的頻率,他的方波占空比為1/3。2.發(fā)射模塊目前已經(jīng)已經(jīng)開(kāi)發(fā)出了很多用于紅外發(fā)射的芯片,其功能眾多性能穩(wěn)定,能夠選擇不同類型的編碼方式進(jìn)行發(fā)送。由于遙控都是便攜式的,所以必須用電池供電,這樣就要求芯片具有低功耗性能,所以目前流行的芯片都具有休眠功能,即只有按鍵被按動(dòng)時(shí)才能啟動(dòng)其部系統(tǒng),否則其處于低功耗的休眠狀態(tài)。紅外線最終通過(guò)紅外發(fā)光二極管(LED)發(fā)射出去,這種二極管與普通的發(fā)光二極管不同,當(dāng)在其兩端施加電壓時(shí),它發(fā)出的紅外光不能被人眼看見(jiàn)。圖3-6圖3-7為兩種常用的紅外LED驅(qū)動(dòng)電路,但是第一種存有一定的缺陷,當(dāng)供電電

21、壓下降時(shí),流過(guò)LED的電流就會(huì)減少,由于發(fā)生強(qiáng)度與流過(guò)LED的電流強(qiáng)度有關(guān),所以發(fā)射出去的信號(hào)強(qiáng)度將會(huì)降低,發(fā)送距離見(jiàn)小。而第二種電路中加入了兩個(gè)鉗位二極管,他們能夠?qū)⑹侨龢O管的基極電壓保持在1.2V左右,所以是三極管的發(fā)射機(jī)電位保持在0.6V左右,這樣Ie就能基本保持不變,根據(jù)IeIc,所以流過(guò)紅外二極管的Ic也就基本不變,這樣就能保證供電電壓下降時(shí),遙控控制的距離不變。圖3-6 簡(jiǎn)單驅(qū)動(dòng)電路圖3-7 射級(jí)輸出驅(qū)動(dòng)電路3.接收模塊本系統(tǒng)采用HX1838一體化紅外接收頭作為接收模塊,HX1838紅外接收管部結(jié)構(gòu),如圖3-8所示。圖3-8 紅外線接收頭部電路其部主要由帶通濾波器,積分電路,比較器

22、、紅外監(jiān)測(cè)二極管、放大器和限副器組成,紅外監(jiān)測(cè)二極管監(jiān)測(cè)到紅外信號(hào),然后把信號(hào)送到放大器和限幅器,限幅器把脈沖幅度控制在一定的水平,而不論紅外發(fā)射器和接收器的距離遠(yuǎn)近。交流信號(hào)進(jìn)入帶通濾波器,帶通濾波器可以通過(guò)30khz到60khz的負(fù)載波,通過(guò)解調(diào)電路和積分電路進(jìn)入比較器,比較器輸出高低電平,還原出發(fā)射端的信號(hào)波形。注意輸出的高低電平和發(fā)射端是反相的,這樣的目的是為了提高接收的靈敏度。以上電路被集成在一個(gè)元件中,成為一體化紅外線接收頭,如下圖3-9所示。圖3-9 紅外線接收頭紅外線接收頭的種類很多,引腳定義也不相同,一般都有三個(gè)引腳,包括供電腳,接地和信號(hào)輸出腳。根據(jù)發(fā)射端調(diào)制載波的不同應(yīng)選

23、用相應(yīng)解調(diào)頻率的接收頭。紅外線接收頭部放大器的增益很大,很容易引起干擾,因此在接收頭的供電腳上須加上濾波電容,一般在22uf以上。有的廠家建議在供電腳和電源之間接入330歐電阻,進(jìn)一步降低電源干擾。四、系統(tǒng)硬件設(shè)計(jì)(一)原理圖描述 圖4-1為本水溫控制系統(tǒng)的原理圖,采用AT89C51單片機(jī)作為主控芯片,片外配合紅外線遙控模塊、水溫加熱模塊開(kāi)關(guān)、基于Dallas單線數(shù)字式的DS18B20溫度傳感器模塊、蜂鳴器報(bào)警模塊、按鍵模塊、LCD1602液晶顯示器模塊、晶振電路模塊、復(fù)位電路模塊以及電源模塊為一體構(gòu)成無(wú)線水溫控制系統(tǒng),下文將分別對(duì)各模塊進(jìn)行介紹。圖4-1 原理圖(二)DS18B20溫度傳感器

24、模塊 圖4-2為DS18B20模塊的原理圖,在本系統(tǒng)中,其主要完成對(duì)水的溫度采集,其1號(hào)管腳GND接地,2號(hào)DO管腳接AT89C51的P1.0管腳,3號(hào)管腳VCC接正5V直流電源。圖4-2 DS18B20溫度傳感器模塊原理圖(三)加熱器開(kāi)關(guān)模塊在本系統(tǒng)中,當(dāng)溫度傳感器采集到的溫度低于設(shè)定溫度時(shí),系統(tǒng)就要開(kāi)啟加熱系統(tǒng)的開(kāi)關(guān),而本系統(tǒng)的設(shè)計(jì)為了追求簡(jiǎn)潔性,所以加熱器模塊用一個(gè)繼電器代替,即繼電器充當(dāng)加熱系統(tǒng)的開(kāi)關(guān)。當(dāng)溫度低于設(shè)定溫度時(shí),繼電器上電,加熱器開(kāi)關(guān)被打開(kāi);而溫度高于設(shè)定溫度時(shí),繼電器關(guān)閉,加熱系統(tǒng)不工作,下圖4-3為繼電器在本系統(tǒng)中的原理圖。圖4-3 繼電器模塊原理圖(四)蜂鳴器報(bào)警模塊

25、在本系統(tǒng)中,當(dāng)采集到的溫度值高于設(shè)定溫度值時(shí),就要進(jìn)行超溫報(bào)警功能。下圖4-4為蜂鳴器模塊的原理圖,當(dāng)單片機(jī)的P3.7口為高電平時(shí),MOS管被導(dǎo)通,蜂鳴器上電發(fā)出報(bào)警聲;而當(dāng)P3.7為低電平時(shí),MOS不能被導(dǎo)通,蜂鳴器不發(fā)聲,這就是蜂鳴器報(bào)警的原理。圖4-4 蜂鳴器模塊原理圖(五)LCD1602液晶顯示器模塊圖4-5為L(zhǎng)CD1602液晶顯示器模塊,其過(guò)改變電阻器R5的值,就可以改變LCD1602的背光亮度。在本系統(tǒng)中,其主要完成顯示溫度值的功能。圖4-5 LCD1602液晶顯示器模塊(6) 按鍵模塊通常情況下,由微處理器實(shí)現(xiàn)的控制系統(tǒng)中有兩種按鍵形式較為普遍使用,第一種是矩陣式的編碼鍵盤,另一

26、種是靜態(tài)的獨(dú)立按鍵。編碼鍵盤即動(dòng)態(tài)掃描鍵盤,這種結(jié)構(gòu)的按鍵電路形式適用于多按鍵配置的系統(tǒng)中,只需要消耗較少的I/O口就可以實(shí)現(xiàn)較多的按鍵輸入,其能夠?qū)崿F(xiàn)的最大按鍵輸入數(shù)N與占用的I/O口數(shù)m關(guān)系為:然而這種動(dòng)態(tài)掃描鍵盤需要較復(fù)雜的代碼才能實(shí)現(xiàn)。第二種獨(dú)立按鍵是一種靜態(tài)的按鍵,單片機(jī)不會(huì)對(duì)它進(jìn)行掃描,其結(jié)構(gòu)較為簡(jiǎn)單,代碼形式也很簡(jiǎn)單,只需要對(duì)其接的管口進(jìn)行電平判斷就可實(shí)現(xiàn)邏輯功能。輕觸式的機(jī)械開(kāi)關(guān)是一種較長(zhǎng)使用并且成本低廉的開(kāi)關(guān),在沒(méi)有被按下是,其部的電路結(jié)構(gòu)是斷開(kāi)的,不能到點(diǎn),而當(dāng)它被按下時(shí),其等效的開(kāi)關(guān)就被接通,從而實(shí)現(xiàn)了電路的導(dǎo)通。另外,由于機(jī)械式開(kāi)關(guān)部由于有一個(gè)彈性部件,所以在按動(dòng)的時(shí)候

27、會(huì)伴隨一系列的抖動(dòng)過(guò)程,這個(gè)抖動(dòng)過(guò)程會(huì)給邏輯判斷帶來(lái)極大的干擾,所以在程序構(gòu)建上通常會(huì)對(duì)按鍵進(jìn)行消抖處理,通常較為常用的是延時(shí)消抖,即通過(guò)一定的延時(shí)將抖動(dòng)階段避開(kāi),過(guò)了這段時(shí)間再對(duì)電平進(jìn)行判斷,這樣就能使系統(tǒng)得到必要的保障。按鍵模塊的主要作用是對(duì)水溫值進(jìn)行設(shè)定,圖4-6中的P1.0口接的按鍵為升溫按鍵,P1.1口的按鍵為降溫按鍵。圖4-6 按鍵模塊原理圖五、系統(tǒng)軟件設(shè)計(jì)系統(tǒng)的實(shí)現(xiàn)必須要有軟件和硬件的相互配合,上文中對(duì)本系統(tǒng)的硬件進(jìn)行了描述,下文將對(duì)系統(tǒng)軟件的設(shè)計(jì)進(jìn)行設(shè)計(jì):(一)主程序設(shè)計(jì)圖5-1為主程序流程圖,主程序主要完成了一系列的初始化工作,如AT89C51單片機(jī)的初始化、LCD1602液

28、晶顯示器的初始化等等。在主程序中,通過(guò)DS18B20溫度傳感器對(duì)水的溫度測(cè)量,通過(guò)AT89C51主控核心的處理,當(dāng)溫度高于設(shè)定溫度時(shí),蜂鳴器報(bào)警并且關(guān)閉加熱器;當(dāng)溫度低于設(shè)定溫度時(shí),蜂鳴器關(guān)閉,啟動(dòng)加熱器。圖5-1 主系統(tǒng)程序流程圖(二)子程序設(shè)計(jì)1、DS18B20溫度傳感器測(cè)溫流程設(shè)計(jì)子程序主要完成溫度的采集工作以及報(bào)警和啟動(dòng)加熱器工作,圖5-2為子程序流程圖。圖5-2 溫度采集子程序流程圖圖5-2為DS18B20溫度傳感器的測(cè)溫過(guò)程,首先在系統(tǒng)上電后,單片機(jī)要發(fā)出指令對(duì)DS18B20進(jìn)行相關(guān)初始化,比如對(duì)其部寄存器進(jìn)行清零、AD進(jìn)行初始化等。當(dāng)初始化工作完成后,DS18B20就在AT89C

29、51單片機(jī)的指令操控下進(jìn)行溫度讀取,當(dāng)傳感器讀取到溫度后就實(shí)現(xiàn)了非電量和電量的轉(zhuǎn)換工作,然而目前得到的信號(hào)是模擬信號(hào),由于模擬信號(hào)不適合傳輸,所以還不能直接傳送給單片機(jī),在設(shè)計(jì)DS18B20的時(shí)候,研究人員在其部嵌入了一個(gè)AD模塊,目的就是要實(shí)現(xiàn)將模擬電壓轉(zhuǎn)換成適合傳輸?shù)臄?shù)字信號(hào)。當(dāng)模擬電壓經(jīng)過(guò)AD的轉(zhuǎn)換后,就得到了溫度數(shù)據(jù)的數(shù)字信號(hào),然后就能通過(guò)DS18B20的輸出管腳將溫度數(shù)據(jù)傳送給單片機(jī)了,這就是DS18B20的溫度采集流程。2、溫度報(bào)警子程序流程圖設(shè)計(jì)圖5-3 溫度報(bào)警子程序流程圖圖5-3為L(zhǎng)CD1602液晶顯示器的顯示流程,在系統(tǒng)上電后,AT89C51單片機(jī)就開(kāi)始對(duì)LCD1602的部

30、控制芯片進(jìn)行初始化。初始化工作完成之后,就要開(kāi)始顯示容了,雖然通過(guò)人眼的觀察顯示容是個(gè)很簡(jiǎn)單的工作,其實(shí)不然,LCD1602的顯示過(guò)程要經(jīng)過(guò)寫(xiě)命令、判忙、寫(xiě)數(shù)據(jù)以及判忙等工作,只有在這些過(guò)程有條不紊的進(jìn)行下,LCD1602才能正常的顯示,否則將出現(xiàn)亂碼甚至毫無(wú)反應(yīng)???結(jié)時(shí)間在無(wú)數(shù)個(gè)實(shí)驗(yàn)中匆匆過(guò)去了,最終我完成了預(yù)期給自己設(shè)立的相關(guān)目標(biāo),其中經(jīng)過(guò)了最初的方案設(shè)定、方案對(duì)比、最終方案、資料搜集、查閱文獻(xiàn)、代碼調(diào)試、功能仿真、論文撰寫(xiě)以及論文修改等主要過(guò)程,可謂是每個(gè)過(guò)程都充滿著挑戰(zhàn)與艱辛。即使是一個(gè)結(jié)構(gòu)很簡(jiǎn)單的功能電路,如果出現(xiàn)一個(gè)字符問(wèn)題,表現(xiàn)出來(lái)的現(xiàn)象也是各種各樣的,檢查的過(guò)程也需要細(xì)心和經(jīng)

31、驗(yàn)。就比如說(shuō)一開(kāi)始在硬件上出現(xiàn)一個(gè)模塊的虛焊,結(jié)果導(dǎo)致整個(gè)系統(tǒng)不運(yùn)作,所以非常失望沒(méi)有得到預(yù)期的現(xiàn)象,但是沒(méi)有灰心,通過(guò)一個(gè)一個(gè)模塊檢查,最終很快找到了異常的根源。通過(guò)這次畢業(yè)設(shè)計(jì),在硬件上提高了我的動(dòng)手能力和對(duì)相關(guān)集成模塊的使用能力,鞏固了我對(duì)以往學(xué)過(guò)的專業(yè)知識(shí)積累;在軟件方面,讓我了解并且使用的Keil uVison,使我掌握了其使用方法,提高了我的編程能力。所以畢業(yè)設(shè)計(jì)是非常有必要的,是對(duì)專業(yè)學(xué)習(xí)的一個(gè)最終總結(jié)。通過(guò)本次畢業(yè)設(shè)計(jì),實(shí)現(xiàn)了基于單片機(jī)的水溫控制系統(tǒng),本設(shè)計(jì)采用Keil uVison軟件作為開(kāi)發(fā)平臺(tái),采用C語(yǔ)言編寫(xiě)系統(tǒng)程序。在設(shè)計(jì)過(guò)程中,使我對(duì)單片機(jī)原理、C語(yǔ)言的理解又上升了一

32、個(gè)新的臺(tái)階,在硬件上我也接觸到了51芯片、LCD1602液晶顯示器等集成模塊,發(fā)現(xiàn)使用了這種集成模塊,對(duì)系統(tǒng)的穩(wěn)定性是極為有利的。該系統(tǒng)性能十分優(yōu)越,但是也存在諸多可以改進(jìn)的地方,比如使用機(jī)械按鍵作為電梯的控制按鍵,雖然價(jià)格低廉、性能也十分穩(wěn)定,但是它的使用起來(lái)十分不靈活,使得按動(dòng)按鍵需要很大的力氣并且手指不舒服,而如果采用現(xiàn)在極為流行的電容觸摸按鍵模塊的話,卻能帶來(lái)更好的人機(jī)交互和用戶體驗(yàn)。致 時(shí)間過(guò)得真快,轉(zhuǎn)眼就三月底了。如今的論文雛形已慢慢形成,還記得去年九月,當(dāng)時(shí)對(duì)于寫(xiě)論文,腦子空空,不知道該如何下手,是老師給了我建議和指導(dǎo)。雖然 老師平日里工作繁忙,但是不管在定題目的時(shí)候還

33、是在寫(xiě)論文的過(guò)程中,都離不開(kāi)老師的細(xì)心指導(dǎo),我從心里感她。在此研究的過(guò)程中,老師給與幫助,提供建議,開(kāi)拓思維,讓我有了思路進(jìn)行寫(xiě)作,同時(shí)利用所學(xué)知識(shí)漸漸地有了畢業(yè)設(shè)計(jì)的思維過(guò)程。為此次畢業(yè)論文,畢業(yè)設(shè)計(jì)奠定了基礎(chǔ)。大學(xué)三年,學(xué)到了很多。最后我要感教授我理論知識(shí),為人處世的老師,在我遇到困難時(shí),及時(shí)給予幫助我的同學(xué),沒(méi)有你們就沒(méi)有現(xiàn)在的我。通過(guò)這段時(shí)間的堅(jiān)持,畢業(yè)設(shè)計(jì)即將接近尾聲。由于自我知識(shí)的缺乏和不足,在此次畢業(yè)設(shè)計(jì)的過(guò)程中有考慮不周的地方,請(qǐng)老師們及時(shí)指正,幫助提高自我。通過(guò)此次設(shè)計(jì)我相信,以及整個(gè)過(guò)程中老師的建議與指導(dǎo),讓我在以后的學(xué)習(xí)生活中會(huì)努力做得更好。在此感   老師的建議與指導(dǎo),同時(shí)諸多同學(xué)在整個(gè)過(guò)程中的幫助。參考文獻(xiàn)1開(kāi)生,郭國(guó)法.MCS-51單片機(jī)溫度控制系

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論