數(shù)字電路課件:第6章時(shí)序邏輯電路-修改_第1頁(yè)
數(shù)字電路課件:第6章時(shí)序邏輯電路-修改_第2頁(yè)
數(shù)字電路課件:第6章時(shí)序邏輯電路-修改_第3頁(yè)
數(shù)字電路課件:第6章時(shí)序邏輯電路-修改_第4頁(yè)
數(shù)字電路課件:第6章時(shí)序邏輯電路-修改_第5頁(yè)
已閱讀5頁(yè),還剩151頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、第六章 時(shí)序邏輯電路杭州電子科技大學(xué)電子信息學(xué)院 教材原著:數(shù)字電路 龔之春 編著1、時(shí)序電路的特點(diǎn)時(shí)序電路在任何時(shí)刻的穩(wěn)定輸出,不僅與該時(shí)刻的輸入信號(hào)有關(guān),而且還與電路原來(lái)的狀態(tài)有關(guān)。第六章 時(shí)序邏輯電路6-1 時(shí)序邏輯電路的概念2、時(shí)序電路邏輯功能的表示方法輸入信號(hào)輸出信號(hào)存儲(chǔ)電路輸入存儲(chǔ)電路輸出邏輯函數(shù)表達(dá)式3、時(shí)序電路的分類(1) 根據(jù)時(shí)鐘分類同步時(shí)序電路中,各個(gè)觸發(fā)器的時(shí)鐘脈沖相同,即電路中有一個(gè)統(tǒng)一的時(shí)鐘脈沖,每來(lái)一個(gè)時(shí)鐘脈沖,電路的狀態(tài)只改變一次。異步時(shí)序電路中,各個(gè)觸發(fā)器的時(shí)鐘脈沖不同,即電路中沒有統(tǒng)一的時(shí)鐘脈沖來(lái)控制電路狀態(tài)的變化,電路狀態(tài)改變時(shí),電路中要更新狀態(tài)的觸發(fā)器的翻

2、轉(zhuǎn)有先有后,是異步進(jìn)行的。 (2)根據(jù)輸出分類米利型時(shí)序電路的輸出不僅與現(xiàn)態(tài)有關(guān),而且還決定于電路當(dāng)前的輸入。穆爾型時(shí)序電路的其輸出僅決定于電路的現(xiàn)態(tài),與電路當(dāng)前的輸入無(wú)關(guān);或者根本就不存在獨(dú)立設(shè)置的輸出,而以電路的狀態(tài)直接作為輸出。數(shù)據(jù)寄存器是能夠存放二進(jìn)制數(shù)碼的電路兩類商品數(shù)據(jù)寄存器由多個(gè)鐘控D鎖存器組成(數(shù)據(jù)在時(shí)鐘的某個(gè)約定電平下存入)由多個(gè)D觸發(fā)器組成(數(shù)據(jù)在時(shí)鐘某個(gè)約定邊沿下進(jìn)入)6-2 數(shù)據(jù)寄存器一、商品的多位D鎖存器4位D鎖存器8位D鎖存器CP=1時(shí),輸入數(shù)據(jù)存入鎖存器CP=0時(shí),電路鎖住存入數(shù)據(jù)三態(tài)輸出適用于向8位總線發(fā)送數(shù)據(jù)使能端EN:可實(shí)現(xiàn)多組數(shù)據(jù)輪流向總線發(fā)送多組數(shù)據(jù)輪流

3、向總線發(fā)送EN=0時(shí)D0-D7向總線發(fā)送數(shù)據(jù)EN=1時(shí)D8-D15向總線發(fā)送數(shù)據(jù)四、三態(tài)輸出的8位D寄存器74LS374計(jì)數(shù)器的分類按進(jìn)位方式,分為同步和異步計(jì)數(shù)器按進(jìn)位制,分為模二、模十和任意模計(jì)數(shù)器按邏輯功能,分為加法、減法和可逆計(jì)數(shù)器CTR(Counter)6-3 計(jì)數(shù)器(CTR)一、異步二進(jìn)制計(jì)數(shù)器1、異步3位二進(jìn)制加法計(jì)數(shù)器狀態(tài)轉(zhuǎn)換,會(huì)由低位向高位逐級(jí)推進(jìn),形似波浪,又名行波計(jì)數(shù)器(RCTR)公共清零端FF0的時(shí)鐘FF1的時(shí)鐘FF2的時(shí)鐘正式計(jì)數(shù)前, 端應(yīng)先輸入一負(fù)向窄脈沖,使各觸發(fā)器清零清零后的狀態(tài)為S0=000,稱狀態(tài)0,計(jì)數(shù)器的存數(shù)CT=0000計(jì)數(shù)器的狀態(tài)Q2Q1Q0用Si表

4、示波形圖Q0Q1Q3Si,CT-01234567010000CP Q2 Q1 Q0 0 0 0 01234567101010101100110001111邏輯符號(hào)公共清零端存數(shù)總定性記號(hào)RCTR3:3級(jí)行波計(jì)數(shù)器,也可以寫成CTRDIV8表示模為8(2N)的分頻器或計(jì)數(shù)器計(jì)數(shù)時(shí)鐘CP:作用是在原存數(shù)上+1設(shè)tpd觸發(fā)器的平均觸發(fā)傳輸時(shí)延電路對(duì)輸入一次時(shí)鐘需要的計(jì)數(shù)時(shí)間為:N位異步二進(jìn)制加法計(jì)數(shù)器的最高計(jì)數(shù)頻率為:例6-1 試分析圖6-9所示計(jì)數(shù)電路,畫出波形圖,列出狀態(tài)表及等效邏輯符號(hào),并說明其功能。各級(jí)用 端信號(hào)作為輸出波形圖狀態(tài)表減法計(jì)數(shù)器邏輯符號(hào)例6-2 試分析圖6-11電路的工作原理,

5、畫出波形圖,說明其功能解:除去與非門G1外,基本上是異步3位二進(jìn)制加法計(jì)數(shù)器當(dāng)電路狀態(tài)Si=Q2Q1Q0=101,即CT=5時(shí),門G1的輸出變0,產(chǎn)生公共復(fù)零動(dòng)作,故電路是一個(gè)模5計(jì)數(shù)器波形圖狀態(tài)S5停留時(shí)間很短,電路自動(dòng)回到狀態(tài)S0的負(fù)脈沖寬度,主要取決于器件的傳輸時(shí)延Q1和Q2都可作分頻后波形輸出,而Q0的波形因有毛刺,故不宜作分頻信號(hào)輸出三、集成異步BCD碼計(jì)數(shù)器BCD碼計(jì)數(shù)器,又稱二-十進(jìn)制或十進(jìn)制計(jì)數(shù)器用異步2N進(jìn)制計(jì)數(shù)器,再外接適當(dāng)?shù)姆答伹辶汶娐?,可以?gòu)成模10分頻器,但反饋清零留下了毛刺,不實(shí)用1、集成異步BCD碼計(jì)數(shù)器74LS290(1) 電路二分頻單元,輸出為Q0五分頻單元,

6、輸出為Q3Q2Q1直接清零置9實(shí)現(xiàn)清零實(shí)現(xiàn)置9當(dāng)RD=0,PD=1時(shí),電路置9當(dāng)RD=0,PD=0時(shí),電路正常計(jì)數(shù)當(dāng)RD=1,PD=0時(shí),電路清零(2)功能表(3)邏輯符號(hào)1001清零置92、用74LS290構(gòu)成兩種BCD碼計(jì)數(shù)器Q3 Q2 Q1 Q00 0 0 012345678900 0 10 1 00 1 11 0 01 0 11 1 01 1 10 0 00 0 10 0 000000001100在5421BCD計(jì)數(shù)器中,輸入時(shí)鐘CP先經(jīng)五分頻再經(jīng)二分頻輸出。Q0 Q3 Q2 Q10 0 0 0123456789100 0 10 1 00 1 11 0 00 0 00 0 10 1 0

7、0 1 11 0 00 0 00000111110其輸出狀態(tài)Si=Q0Q3Q2Q1,也是按次序循環(huán)的,但權(quán)重是按5421安排的。特點(diǎn):最高位Q0的波形對(duì)稱M=88421BCD碼:清零法 置數(shù)法5421BCD碼:清零法 置數(shù)法異步清零異步置9Q3 Q2 Q1 Q00 0 0 0123456780 0 10 1 00 1 11 0 01 0 11 1 01 1 10 0 0000000010暫態(tài)8421BCD清零法8421BCD置9法RD = Q3Q3 Q2 Q1 Q01 0 0 1012345670 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1000000009暫

8、態(tài)PD = Q2 Q1 Q0M=88421BCD清零法8421BCD置9法M=85421BCD清零法5421BCD置9法RD = Q2 Q1 Q0暫態(tài)PD = Q2 Q0Q0 Q3 Q2 Q10 0 0 0123456780 0 10 1 00 1 11 0 00 0 00 0 10 1 00 1 1000011111 1 0 0012345670 0 00 0 10 1 00 1 11 0 00 0 00 0 10 1 0000001119暫態(tài)Q0 Q3 Q2 Q1M=85421BCD清零法5421BCD置9法M=8M=153、3片74LS290串接成3位8421BCD計(jì)數(shù)器實(shí)現(xiàn)十進(jìn)制數(shù)從0

9、00999的計(jì)數(shù)四、同步4位二進(jìn)制計(jì)數(shù)器1、電路時(shí)鐘脈沖CP是公共的,即觸發(fā)器的翻轉(zhuǎn)是受CP的同一邊沿控制2、波形圖清零J=1,K=1每來(lái)一個(gè)CP的下跳沿,Q0翻轉(zhuǎn)J=K=Q0=1Q1才在CP的下跳沿翻轉(zhuǎn)J=K=Q0Q1當(dāng)Q0Q1均為1時(shí),在CP下跳沿Q2翻轉(zhuǎn)J=K=Q0Q1Q2=1當(dāng)Q0、Q1、Q2均為1時(shí)在CP的下跳沿Q3翻轉(zhuǎn)五、集成同步4位二進(jìn)制加法計(jì)數(shù)器1、集成同步4位可預(yù)置二進(jìn)制加法計(jì)數(shù)器(74LS161)(1)電路異步清零端時(shí)鐘同步加載輸入兩個(gè)使能端計(jì)數(shù)時(shí),兩者均應(yīng)為1進(jìn)位信號(hào)(2)功能表異步清零同步置數(shù)計(jì)數(shù)產(chǎn)生進(jìn)位保持(3)邏輯符號(hào)模式關(guān)聯(lián)記號(hào)當(dāng)LD=0時(shí),這時(shí)電路在CP正沿作用

10、下,即C5=1,計(jì)數(shù)器將執(zhí)行并行置數(shù)操作進(jìn)位輸出CO,當(dāng)內(nèi)輸出3CT=15時(shí),CO=1當(dāng)LD=1時(shí),計(jì)數(shù)器在G3、G4及C5的配合下,將進(jìn)入加法計(jì)數(shù)模式二、用進(jìn)位反饋置數(shù)法法構(gòu)成任意進(jìn)制計(jì)數(shù)1、電路,M=7異步清零端?同步置數(shù)端?反饋清零法反饋置數(shù)法進(jìn)位反饋置數(shù)法M=7反饋清零法-異步清零Q3 Q2 Q1 Q00 0 0 012345670 0 10 1 00 1 11 0 01 0 11 1 01 1 100000000暫態(tài)RD = Q2 Q1 Q0反饋置數(shù)法同步置數(shù)LD = Q2 Q1Q3 Q2 Q1 Q00 0 0 01234560 0 10 1 00 1 11 0 01 0 11 1

11、00000000沒有暫態(tài)反饋清零法-異步清零反饋置數(shù)法同步置數(shù)M=7進(jìn)位反饋置數(shù)法Q3 Q2 Q1 Q01 0 0 11011121314150 1 00 1 11 0 01 0 11 1 01 1 11111119沒有暫態(tài)2、波形圖置數(shù)加法計(jì)數(shù)置數(shù)M=12占空比為50%例:設(shè)計(jì)占空比為50%的模12計(jì)數(shù)器。161的級(jí)聯(lián):M16時(shí)異步時(shí)鐘方式:同步時(shí)鐘方式:為何用非門?集成同步二進(jìn)制可逆計(jì)數(shù)器可逆計(jì)數(shù)器(加/減計(jì)數(shù)器)加法計(jì)數(shù)器(正向計(jì)數(shù))減法計(jì)數(shù)器(逆向計(jì)數(shù))可逆計(jì)數(shù)器單時(shí)鐘輸入,另設(shè)加/減控制端雙時(shí)鐘輸入加法時(shí)鐘減法時(shí)鐘(1)邏輯符號(hào)同步單時(shí)鐘二進(jìn)制可逆計(jì)數(shù)器(74LS169)加/減計(jì)數(shù)控

12、制端當(dāng)U/D =1時(shí),M3=1,M4=0,電路正向計(jì)數(shù)當(dāng)U/D=0時(shí),M3=0,M4=1,電路逆向計(jì)數(shù)CO:加法計(jì)數(shù)的進(jìn)位輸出BO:減法計(jì)數(shù)的借位輸出 共用一個(gè)輸出端(2)功能簡(jiǎn)表清零操作:通過置零過程來(lái)實(shí)現(xiàn),只要令LD=0及DI=0DEC集成同步BCD碼可逆計(jì)數(shù)器單時(shí)鐘(74ALS168)雙時(shí)鐘(74LS192)同步置數(shù)異步置數(shù)0,1,2,3模4加法計(jì)數(shù)5,6,7,8模4加法計(jì)數(shù)8,7,6,5模4減法計(jì)數(shù)5,4,3,2,1模5減法計(jì)數(shù)例 試分析圖6-35所示的計(jì)數(shù)電路,求出計(jì)數(shù)模M。低位高位由同步十進(jìn)制雙時(shí)鐘可逆計(jì)數(shù)構(gòu)成的2位BCD碼減法計(jì)數(shù)器,預(yù)置數(shù)DI=1000 0110BCD=86DE

13、C,即計(jì)數(shù)模M之值。同步四位二進(jìn)制數(shù)計(jì)數(shù)器(用74LS163):同步清零74LS163:具有同步清零功能,故不會(huì)使輸出波形產(chǎn)生毛刺1、電路圖M=7用74LS160構(gòu)成任意進(jìn)制的計(jì)數(shù)或分頻器(1)用進(jìn)位-置數(shù)法構(gòu)成模5分頻器1001101010011010(2)用反饋-置零法構(gòu)成模5計(jì)數(shù)或分頻器0010置數(shù)000000100000按數(shù)據(jù)輸入方式分串行并行移位方向左移右移數(shù)據(jù)輸出并出串出移位寄存器:具有移位功能的寄存器(Shift Register)6-4 移位寄存器(SRG)一、單向移存器1、4位串入-并出移位寄存器(1)電路待移存的串行數(shù)據(jù)各串行輸出端,依次延遲一個(gè)CP周期并行輸出端,同時(shí)輸出

14、左邊觸發(fā)器的輸出作為右邊觸發(fā)器的輸入(2)功能表(3)邏輯符號(hào)2、輸入、輸出串并皆可的單向移存器(1)電路圖并入 串出:串入 并出:01(3)邏輯符號(hào)集成4位雙向通用移存器的邏輯符號(hào)4個(gè)通用寄存單元依次級(jí)聯(lián),可構(gòu)成4位雙向通用移存器M=M1M0是總的模式關(guān)聯(lián)記號(hào)表示在M=1,CP正沿時(shí),電路右移表示在M=2,CP正沿時(shí),電路左移右移串行輸入數(shù)據(jù)左移串行輸入數(shù)據(jù)并行輸入數(shù)據(jù)二、集成4位通用移存器3、功能表5、移位寄存器的擴(kuò)展(1)電路(2)等效邏輯符號(hào)四、移存型計(jì)數(shù)器在移存器的輸出和輸入間,連接適當(dāng)?shù)姆答侂娐窐?gòu)成環(huán)型計(jì)數(shù)器扭環(huán)型計(jì)數(shù)器1、環(huán)形計(jì)數(shù)器(1)電路四位移存器構(gòu)成環(huán)形計(jì)數(shù)器,將移存器的末

15、級(jí)輸出Q3,直接反饋到最前級(jí)的右移輸入端(2)工作過程先令LD=0,在時(shí)鐘CP的正沿,預(yù)設(shè)數(shù)DI=d3d2d1d0=0001,并行置入移存器,使Q3Q2Q1Q0=00010001以后,使LD=1,電路在CP作用下,這個(gè)單獨(dú)的存數(shù)1便在移存器中循環(huán)右移,如狀態(tài)表所示。01 0 0 0010 1 0 00 0 1 00 0 0 1構(gòu)成模4計(jì)數(shù)或分頻器其輸出Q3Q2Q1Q0是4中取1碼1 0 0 0(3)狀態(tài)轉(zhuǎn)換圖工作循環(huán):主循環(huán)非工作循環(huán)1 1 0 00 1 1 00 0 1 11 0 0 11 1 1 00 1 1 11 0 1 11 1 0 11 0 1 00 1 0 10 0 0 01 1

16、1 1特點(diǎn):非工作循環(huán)中,狀態(tài)組合中1的個(gè)數(shù)為0或在2以上,無(wú)法保證開機(jī)就進(jìn)入主循環(huán)工作,缺乏自啟動(dòng)能力(4)可自啟動(dòng)的環(huán)形計(jì)數(shù)器(M=4)電路主循環(huán)中的4個(gè)狀態(tài)組合中都只含有1個(gè)1,對(duì)狀態(tài)組合中的低3位,即Q2、Q1及Q0, 若均為0,則令反饋輸入為1; 若有1個(gè)或多個(gè)為1,則令反饋輸入為0。經(jīng)移位后,既可避免出現(xiàn)全0狀態(tài),又可逐步減少狀態(tài)組合中多余的1,直至只剩1個(gè)1為止。用或非門G1實(shí)現(xiàn)上述反饋狀態(tài)圖對(duì)具有自啟動(dòng)性能的環(huán)形計(jì)數(shù)器,不必置初值2、扭環(huán)形計(jì)數(shù)器(約翰遜計(jì)數(shù)器)(1)電路用N位移存器的末級(jí)輸出QN-1,取反后再反饋到最前級(jí)的右移輸入端(2)工作過程 令RD=1,清零,器件進(jìn)入全

17、零狀態(tài),反饋輸入dR為1 RD變?yōu)?,時(shí)鐘加入,電路右移操作,10 0 0 01如狀態(tài)表所示0 0 0 01 0 0 01 1 0 01 1 1 01 1 1 10 1 1 10 0 1 10 0 0 10 0 0 0這是主循環(huán)狀態(tài)表模M=8的計(jì)數(shù)器M=移存器位數(shù)N的2倍100 0 0 01 0 0 01 1 0 01 1 1 01 1 1 10 1 1 10 0 1 10 0 0 10 0 0 0主循環(huán)狀態(tài)表(3)狀態(tài)轉(zhuǎn)換圖013715141280除了包含有狀態(tài)0的主循環(huán)外,電路還有一個(gè)非工作循環(huán)包含了剩下的8個(gè)狀態(tài)0 1 0 00 1 01 1 0 10 1 1 00 1 10 1 0 1

18、0 0 1 00 0 1(4)一種自啟動(dòng)的扭環(huán)形計(jì)數(shù)器 列出右移輸入dR的卡諾圖Q3 Q2 Q1 Q0 dR 0 0 0 0 1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 0 0 1 1 0 0 0 1 0 0 0 0Q3 Q2 Q1 Q0 dR 0 0 0 0 1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 0 0 1 1 0 0 0 1 0 0 0 0右移輸入dR的卡諾圖可獲得新的反饋輸入為: 可自啟動(dòng)的扭環(huán)形計(jì)數(shù)器電路 狀態(tài)圖3、兩種N位移存型計(jì)數(shù)器的波形圖(主循環(huán))模M=N輸出波形不對(duì)稱模

19、M=2N輸出波形對(duì)稱五、序列發(fā)生器序列:是一種按預(yù)定圖樣排列的0,1脈沖串1、序列脈沖通常由帶反饋的移存器產(chǎn)生:例如:110100,用右移產(chǎn)生Q1 Q2 Q3 Q4 dR1 0 0 1 11 1 0 0 00 1 1 0 11 0 1 1 00 1 0 1 00 0 1 0 1 110100序列信號(hào)發(fā)生器dR=Q2Q3+Q3Q4能否自啟動(dòng)?如何檢查?如何并行檢測(cè)Q1輸出101?2、用移存器直接產(chǎn)生位數(shù)不長(zhǎng)的序列序列110100,長(zhǎng)度僅6位,可用8位并入-并出移存器來(lái)構(gòu)成將該序列圖案按位并行置入,再將其末位,即第六位的輸出直接連線到第一位的右移輸入端,就可在CP作用下,連續(xù)產(chǎn)生所需序列該電路的優(yōu)

20、點(diǎn):設(shè)計(jì)及維護(hù)方便該電路的缺點(diǎn):當(dāng)序列很長(zhǎng)時(shí),要求器件數(shù)增加很多,且難以 解決自啟動(dòng)問題,一旦受到干擾,無(wú)法回到 正常序列狀態(tài),只能重新置數(shù)啟動(dòng)3、線性序列發(fā)生器可以用級(jí)數(shù)不多的移存器,產(chǎn)生循環(huán)長(zhǎng)度最大的序列,而且電路易于自啟動(dòng)式中Ci=0或1,是反饋?zhàn)兞康膫鬏斚禂?shù)M序列:一定位數(shù)N的序列發(fā)生器所產(chǎn)生的最長(zhǎng)循環(huán)序列 也稱為最大序列例6-13 試畫出序列“1011”檢測(cè)器的狀態(tài)圖和狀態(tài)表,只考慮序 列不可重疊的情況。用移存器為核心器件設(shè)計(jì)電路P252串行序列X送入移存器M1后,便變成并行的4位1組輸出Q3Q2Q1Q0。經(jīng)與門G1可檢測(cè)“1011”子序列,輸出Z為正脈沖門G2,G3的延遲,保證Z脈

21、沖的寬度,同時(shí)清除該子序列1 1 0 111011一、分析時(shí)序邏輯電路的一般步驟 1由邏輯圖寫出下列各邏輯方程式: (1)各觸發(fā)器的時(shí)鐘方程。 (2)時(shí)序電路的輸出方程。 (3)各觸發(fā)器的驅(qū)動(dòng)方程。 2將驅(qū)動(dòng)方程代入相應(yīng)觸發(fā)器的特性方程,求得時(shí)序邏輯電路的次態(tài)方程。 3根據(jù)狀態(tài)方程和輸出方程,列出該時(shí)序電路的狀態(tài)表,畫出狀態(tài)圖或時(shí)序圖。 4根據(jù)電路的狀態(tài)表或狀態(tài)圖說明給定時(shí)序邏輯電路的邏輯功能。時(shí)序邏輯電路的一般分析方法例6-9 試分析圖6-61所示由JK觸發(fā)器構(gòu)成的時(shí)序電路,列出其 狀態(tài)轉(zhuǎn)換表和轉(zhuǎn)換圖,并說明其邏輯功能。解:(1)寫出各觸發(fā)器的激勵(lì)函數(shù)(2)按JK觸發(fā)器的狀態(tài)轉(zhuǎn)換方程 列出狀

22、態(tài)轉(zhuǎn)換表(3)由此可畫出狀態(tài)轉(zhuǎn)換圖(3)由此可畫出狀態(tài)轉(zhuǎn)換圖(4)工作循環(huán)包含了10個(gè)工作狀態(tài)S3S12預(yù)置信號(hào)PD使電路進(jìn)入初態(tài)S3是余3碼計(jì)數(shù)器,具有自啟動(dòng)能力例6-10 試系統(tǒng)分析圖6-63的時(shí)序電路,列出其狀態(tài)轉(zhuǎn)換表,畫出狀態(tài)轉(zhuǎn)換圖,并說明電路的邏輯功能。在輸入序列X(t)= 010110011101010的作用下,畫出相應(yīng)輸出序列Z(t)的波形。解:(1)寫出觸發(fā)器的激勵(lì) 函數(shù)及電路的輸出函數(shù)為(2)根據(jù)D觸發(fā)器的特征方程 及 列出電路的狀態(tài)轉(zhuǎn)換真值表經(jīng)格式變換為(3)由狀態(tài)轉(zhuǎn)換表畫出狀態(tài)轉(zhuǎn)換圖當(dāng)輸入X為110序列時(shí),電路輸出Z=1,電路功能為序列110檢測(cè)器(4)波形圖Q1Q000

23、000100011000000110100001000100Zt6.6 同步時(shí)序邏輯電路的設(shè)計(jì)方法一、同步時(shí)序邏輯電路的設(shè)計(jì)方法1同步時(shí)序邏輯電路的設(shè)計(jì)步驟(3)狀態(tài)分配,又稱狀態(tài)編碼。即把一組適當(dāng)?shù)亩M(jìn)制代碼分配給簡(jiǎn)化狀態(tài)圖(表)中各個(gè)狀態(tài),得到完整的狀態(tài)表。(1)根據(jù)設(shè)計(jì)要求,設(shè)定狀態(tài),導(dǎo)出對(duì)應(yīng)原始狀態(tài)圖或狀態(tài)表。(2)狀態(tài)化簡(jiǎn)。消去多余的狀態(tài),得簡(jiǎn)化狀態(tài)圖(表)。(4)選擇觸發(fā)器的類型。(5)根據(jù)編碼狀態(tài)表以及所采用的觸發(fā)器的邏輯功能,導(dǎo)出待設(shè)計(jì)電路的輸出方程和驅(qū)動(dòng)方程。(6)根據(jù)輸出方程和驅(qū)動(dòng)方程畫出邏輯圖。(7)檢查電路能否自啟動(dòng)。例6-13 試畫出序列“1011”檢測(cè)器的狀態(tài)圖和狀

24、態(tài)表,并考慮序 列不可重疊和可重疊兩種情況。解:設(shè)檢測(cè)器的輸入序列為X,當(dāng)檢測(cè)到連續(xù)4位數(shù)據(jù)X=1011時(shí),電路輸出Z為1,否則為0序列字長(zhǎng)4位,故至少要設(shè)置4個(gè)狀態(tài),如A、B、C、DA:起始狀態(tài)B:1C:10D:101不可重疊檢測(cè)序列 : 1011可重疊檢測(cè)序列:前1011子序列的末位1,可以就是后 1011序列的首位 如:1011011檢測(cè)到1011序列,則Z=1ABCD0/01/0X/ZSi1/00/00/01/00/0不可重疊1/1可重疊二、按簡(jiǎn)化狀態(tài)表設(shè)計(jì)電路1、狀態(tài)化簡(jiǎn),設(shè)電路簡(jiǎn)化后的狀態(tài)總數(shù)為M,則二進(jìn)碼的位數(shù)N應(yīng)滿足:2、對(duì)簡(jiǎn)化狀態(tài)表中每一個(gè)狀態(tài),分配一個(gè)N位的代碼對(duì)狀態(tài)圖或狀

25、態(tài)表中相鄰的狀態(tài),盡量分配相鄰的代碼相鄰狀態(tài):(1)相同輸入時(shí),有相同次態(tài)的現(xiàn)態(tài);(2)不同輸入時(shí),同一現(xiàn)態(tài)的不同次態(tài);(3)相同輸入時(shí),有相同輸出的現(xiàn)態(tài)。狀態(tài)化簡(jiǎn) 在建立原始狀態(tài)圖和原始狀態(tài)表時(shí),將重點(diǎn)放在正確地反映設(shè)計(jì)要求上,因而往往可能會(huì)多設(shè)置一些狀態(tài),但狀態(tài)數(shù)目的多少將直接影響到所需觸發(fā)器的個(gè)數(shù)。對(duì)于具有M個(gè)狀態(tài)的時(shí)序電路來(lái)說, 所需觸發(fā)器的個(gè)數(shù)n由下式?jīng)Q定: 可見,狀態(tài)數(shù)目減少會(huì)使觸發(fā)器的數(shù)目減少并簡(jiǎn)化電路。 因此,狀態(tài)簡(jiǎn)化的目的就是要消去多余狀態(tài),以得到最簡(jiǎn)狀態(tài)圖和最簡(jiǎn)狀態(tài)表。 狀態(tài)的等價(jià) 在狀態(tài)表中判斷兩個(gè)狀態(tài)是否等價(jià)的具體條件如下: 第一,在相同的輸入條件下都有相同的輸出。 第

26、二,在相同的輸入條件下次態(tài)也等價(jià)。 這可能有三種情況: 次態(tài)相同; 次態(tài)交錯(cuò); 次態(tài)互為隱含條件。 原始狀態(tài)表 S2 S5S6 S7S1 S3、 S2 S4隱含表法ABCD0/01/0X/ZSi1/00/00/01/00/0不可重疊1/1可重疊1/1(1)相同輸入時(shí),有相同次態(tài)的現(xiàn)態(tài);(2)不同輸入時(shí),同一現(xiàn)態(tài)的不同次態(tài);(3)相同輸入時(shí),有相同輸出的現(xiàn)態(tài)。狀態(tài)分配例6-16 試完成例6-13的設(shè)計(jì)電路。解:ABCD0/01/0X/ZSi1/00/00/01/00/0不可重疊1/1可重疊1/1對(duì)不可重疊的情況,狀態(tài)B和D是不相鄰的對(duì)可重疊的情況,狀態(tài)A和D是不相鄰的在狀態(tài)分配時(shí),應(yīng)(盡量)考慮

27、這點(diǎn)兩個(gè)狀態(tài)表經(jīng)狀態(tài)編碼后,便成為下面兩個(gè)編碼狀態(tài)表不可重疊可重疊B與D不相鄰A與D不相鄰各種觸發(fā)器的激勵(lì)關(guān)系:只考慮不可重疊情況:分別列出用D觸發(fā)器實(shí)現(xiàn)的激勵(lì)卡諾圖和用JK觸發(fā)器實(shí)現(xiàn)的激勵(lì)卡諾圖1、用觸發(fā)器實(shí)現(xiàn)故這兩類觸發(fā)器的激勵(lì)輸入分別為:采用JK觸發(fā)器的激勵(lì)輸入電路較簡(jiǎn)單選擇具有清零端的雙負(fù)沿觸發(fā)JK觸發(fā)器74LS107且由輸出卡諾圖可得輸出函數(shù)為:再選用適當(dāng)?shù)膸追N門電路,便可畫出“1011”序列不可重疊檢測(cè)電路外輸入清零信號(hào)RD,可使電路啟動(dòng)進(jìn)入狀態(tài)A2、用移存器為核心器件設(shè)計(jì)電路串行序列X送入移存器M1后,便變成并行的4位1組輸出Q3Q2Q1Q0。經(jīng)與門G1可檢測(cè)“1011”子序列,

28、輸出Z為正脈沖門G2,G3的延遲,保證Z脈沖的寬度,同時(shí)清除該子序列電路是一種不可重疊的序列檢測(cè)器例6-18 試用觸發(fā)器設(shè)計(jì)一可變模同步分頻器,當(dāng)控制輸入X=0時(shí),分頻模M=5;若X=1, 則M=7。要求寫出主要的設(shè)計(jì)過程,并畫出電路圖。解:(1)列出狀態(tài)轉(zhuǎn)換真值表用三個(gè)觸發(fā)器實(shí)現(xiàn)電路電路狀態(tài)Si=Q2Q1Q0按二進(jìn)碼排序,令S0=000為起始狀態(tài),Z為輸出函數(shù)。未采用次態(tài)(2)由真值表,可分別畫出各觸發(fā)器的次態(tài) 及輸出 的卡諾圖(2)由真值表,可分別畫出各觸發(fā)器的次態(tài) 及輸出 的卡諾圖(2)由真值表,可分別畫出各觸發(fā)器的次態(tài) 及輸出 的卡諾圖(3)若選用D觸發(fā)器,寫出各觸發(fā)器的激勵(lì)方程為:(

29、4)畫出電路圖(5)畫出狀態(tài)轉(zhuǎn)換圖(6)采用異步清零計(jì)數(shù)器來(lái)實(shí)現(xiàn)電路當(dāng)輸出為0時(shí),便對(duì)計(jì)數(shù)器異步清零當(dāng)X=0時(shí),電路是五分頻器,當(dāng)X=1時(shí),電路是七分頻器(7)采用同步清零計(jì)數(shù)器來(lái)實(shí)現(xiàn)電路用X信號(hào)選擇不同的預(yù)置數(shù),實(shí)現(xiàn)可變模的分頻X=1時(shí),預(yù)置數(shù)d3d2d1d0=1001,分頻模為7;X=0時(shí),預(yù)置數(shù)為1011,分頻模為56.16.56.96.126.186.196.206.236.246.296.306.32作業(yè)返回6.366.386.396.44(選做)二、同步時(shí)序邏輯電路的分析舉例例6.2.1:試分析圖6.2.2所示的時(shí)序邏輯電路。解:該電路為同步時(shí)序邏輯電路,時(shí)鐘方程可以不寫。(1)寫

30、出輸出方程: (2)寫出驅(qū)動(dòng)方程:(3)寫出JK觸發(fā)器的特性方程,然后將各驅(qū)動(dòng)方程代入JK觸發(fā)器的特性方程,得各觸發(fā)器的次態(tài)方程:(4)作狀態(tài)轉(zhuǎn)換表及狀態(tài)圖 當(dāng)X=0時(shí):觸發(fā)器的次態(tài)方程簡(jiǎn)化為:輸出方程簡(jiǎn)化為:由此作出狀態(tài)表及狀態(tài)圖。當(dāng)X=1時(shí):觸發(fā)器的次態(tài)方程簡(jiǎn)化為:輸出方程簡(jiǎn)化為:由此作出狀態(tài)表及狀態(tài)圖。將X=0與X=1的狀態(tài)圖合并 起來(lái)得完整的狀態(tài)圖。根據(jù)狀態(tài)表或狀態(tài)圖,可畫出在CP脈沖作用下電路的時(shí)序圖。(5)畫時(shí)序波形圖。(6)邏輯功能分析:當(dāng)X=1時(shí),按照減1規(guī)律從10010010循環(huán)變化,并每當(dāng)轉(zhuǎn)換為00狀態(tài)(最小數(shù))時(shí),輸出Z=1。該電路一共有3個(gè)狀態(tài)00、01、10。當(dāng)X=0

31、時(shí),按照加1規(guī)律從00011000循環(huán)變化,并每當(dāng)轉(zhuǎn)換為10狀態(tài)(最大數(shù))時(shí),輸出Z=1。所以該電路是一個(gè)可控的3進(jìn)制加減法計(jì)數(shù)器。CP1=Q0 (當(dāng)FF0的Q0由01時(shí),Q1才可能改變狀態(tài)。)異步時(shí)序邏輯電路的分析舉例例6.2.2:試分析圖6.2.7所示的時(shí)序邏輯電路該電路為異步時(shí)序邏輯電路。具體分析如下:(1)寫出各邏輯方程式。時(shí)鐘方程:CP0=CP (時(shí)鐘脈沖源的上升沿觸發(fā)。)輸出方程:各觸發(fā)器的驅(qū)動(dòng)方程:(3)作狀態(tài)轉(zhuǎn)換表。(2)將各驅(qū)動(dòng)方程代入D觸發(fā)器的特性方程,得各觸發(fā)器的次態(tài)方程:(CP由01時(shí)此式有效) (Q0由01時(shí)此式有效) (4)作狀態(tài)轉(zhuǎn)換圖、時(shí)序圖。(5)邏輯功能分析 由狀態(tài)圖

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論