MSP430寄存器中文注釋講解學(xué)習(xí)_第1頁
MSP430寄存器中文注釋講解學(xué)習(xí)_第2頁
MSP430寄存器中文注釋講解學(xué)習(xí)_第3頁
MSP430寄存器中文注釋講解學(xué)習(xí)_第4頁
MSP430寄存器中文注釋講解學(xué)習(xí)_第5頁
已閱讀5頁,還剩31頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、Good is good, but better carries it.精益求精,善益求善。MSP430寄存器中文注釋-MSP430寄存器中文注釋-P1/2口(帶中斷功能)/*DIGITALI/OPort1/2寄存器定義有中斷功能*/#defineP1IN_0 x0020/*P1輸入寄存器*/constsfrbP1IN=P1IN_;#defineP1OUT_0 x0021/*P1輸出寄存器*/sfrbP1OUT=P1OUT_;#defineP1DIR_0 x0022/*P1方向選擇寄存器*/sfrbP1DIR=P1DIR_;#defineP1IFG_0 x0023/*P1中斷標(biāo)志寄存器*/sf

2、rbP1IFG=P1IFG_;#defineP1IES_0 x0024/*P1中斷邊沿選擇寄存器*/sfrbP1IES=P1IES_;#defineP1IE_0 x0025/*P1中斷使能寄存器*/sfrbP1IE=P1IE_;#defineP1SEL_0 x0026/*P1功能選擇寄存器*/sfrbP1SEL=P1SEL_;#defineP2IN_0 x0028/*P2輸入寄存器*/constsfrbP2IN=P2IN_;#defineP2OUT_0 x0029/*P2輸出寄存器*/sfrbP2OUT=P2OUT_;#defineP2DIR_0 x002A/*P2方向選擇寄存器*/sfrbP

3、2DIR=P2DIR_;#defineP2IFG_0 x002B/*P2中斷標(biāo)志寄存器*/sfrbP2IFG=P2IFG_;#defineP2IES_0 x002C/*P2中斷邊沿選擇寄存器*/sfrbP2IES=P2IES_;#defineP2IE_0 x002D/*P2中斷使能寄存器*/sfrbP2IE=P2IE_;#defineP2SEL_0 x002E/*P2功能選擇寄存器*/sfrbP2SEL=P2SEL_;MSP430寄存器中文注釋-P3/4口(無中斷功能)/*DIGITALI/OPort3/4寄存器定義無中斷功能*/#defineP3IN_0 x0018/*P3輸入寄存器*/co

4、nstsfrbP3IN=P3IN_;#defineP3OUT_0 x0019/*P3輸出寄存器*/sfrbP3OUT=P3OUT_;#defineP3DIR_0 x001A/*P3方向選擇寄存器*/sfrbP3DIR=P3DIR_;#defineP3SEL_0 x001B/*P3功能選擇寄存器*/sfrbP3SEL=P3SEL_;#defineP4IN_0 x001C/*P4輸入寄存器*/constsfrbP4IN=P4IN_;#defineP4OUT_0 x001D/*P4輸出寄存器*/sfrbP4OUT=P4OUT_;#defineP4DIR_0 x001E/*P4方向選擇寄存器*/sfr

5、bP4DIR=P4DIR_;#defineP4SEL_0 x001F/*P4功能選擇寄存器*/sfrbP4SEL=P4SEL_;/*DIGITALI/OPort5/6I/O口寄存器定義PORT5和6無中斷功能*/#defineP5IN_0 x0030/*P5輸入寄存器*/constsfrbP5IN=P5IN_;#defineP5OUT_0 x0031/*P5輸出寄存器*/sfrbP5OUT=P5OUT_;#defineP5DIR_0 x0032/*P5方向選擇寄存器*/sfrbP5DIR=P5DIR_;#defineP5SEL_0 x0033/*P5功能選擇寄存器*/sfrbP5SEL=P5S

6、EL_;#defineP6IN_0 x0034/*P6輸入寄存器*/constsfrbP6IN=P6IN_;#defineP6OUT_0 x0035/*P6輸出寄存器*/sfrbP6OUT=P6OUT_;#defineP6DIR_0 x0036/*P6方向選擇寄存器*/sfrbP6DIR=P6DIR_;#defineP6SEL_0 x0037/*P6功能選擇寄存器*/sfrbP6SEL=P6SEL_;MSP430寄存器中文注釋-硬件乘法器/*硬件乘法器的寄存器定義*/#defineMPY_0 x0130/*無符號乘法*/sfrwMPY=MPY_;#defineMPYS_0 x0132/*有符號

7、乘法*/sfrwMPYS=MPYS_;#defineMAC_0 x0134/*無符號乘加*/sfrwMAC=MAC_;#defineMACS_0 x0136/*有符號乘加*/sfrwMACS=MACS_;#defineOP2_0 x0138/*第二乘數(shù)*/sfrwOP2=OP2_;#defineRESLO_0 x013A/*低6位結(jié)果寄存器*/sfrwRESLO=RESLO_;#defineRESHI_0 x013C/*高6位結(jié)果寄存器*/sfrwRESHI=RESHI_;#defineSUMEXT_0 x013E/*結(jié)果擴(kuò)展寄存器*/constsfrwSUMEXT=SUMEXT_;MSP43

8、0寄存器中文注釋-看門狗和定時器/*看門狗定時器的寄存器定義*/#defineWDTCTL_0 x0120sfrwWDTCTL=WDTCTL_;#defineWDTIS00 x0001/*選擇WDTCNT的四個輸出端之一*/#defineWDTIS10 x0002/*選擇WDTCNT的四個輸出端之一*/#defineWDTSSEL0 x0004/*選擇WDTCNT的時鐘源*/#defineWDTCNTCL0 x0008/*清除WDTCNT端:為1時從0開始計數(shù)*/#defineWDTTMSEL0 x0010/*選擇模式0:看門狗模式;1:定時器模式*/#defineWDTNMI0 x0020/

9、*選擇NMI/RST引腳功能0:為RST;1:為NMI*/#defineWDTNMIES0 x0040/*WDTNMI=1時.選擇觸發(fā)延0:為上升延1:為下降延*/#defineWDTHOLD0 x0080/*停止看門狗定時器工作0:啟動;1:停止*/#defineWDTPW0 x5A00/*寫密碼:高八位*/*SMCLK=1MHz定時器模式*/#defineWDT_MDLY_32WDTPW+WDTTMSEL+WDTCNTCL/*TSMCLK*2POWER15=32ms復(fù)位狀態(tài)*/#defineWDT_MDLY_8WDTPW+WDTTMSEL+WDTCNTCL+WDTIS0/*TSMCLK*2

10、POWER13=8.192ms*/#defineWDT_MDLY_0_5WDTPW+WDTTMSEL+WDTCNTCL+WDTIS1/*TSMCLK*2POWER9=0.512ms*/#defineWDT_MDLY_0_064WDTPW+WDTTMSEL+WDTCNTCL+WDTIS1+WDTIS0/*TSMCLK*2POWER6=0.512ms*/*ACLK=32.768KHz定時器模式*/#defineWDT_ADLY_1000WDTPW+WDTTMSEL+WDTCNTCL+WDTSSEL/*TACLK*2POWER15=1000ms*/#defineWDT_ADLY_250WDTPW+W

11、DTTMSEL+WDTCNTCL+WDTSSEL+WDTIS0/*TACLK*2POWER13=250ms*/#defineWDT_ADLY_16WDTPW+WDTTMSEL+WDTCNTCL+WDTSSEL+WDTIS1/*TACLK*2POWER9=16ms*/#defineWDT_ADLY_1_9WDTPW+WDTTMSEL+WDTCNTCL+WDTSSEL+WDTIS1+WDTIS0/*TACLK*2POWER6=1.9ms*/*SMCLK=1MHz看門狗模式*/#defineWDT_MRST_32WDTPW+WDTCNTCL/*TSMCLK*2POWER15=32ms復(fù)位狀態(tài)*/#d

12、efineWDT_MRST_8WDTPW+WDTCNTCL+WDTIS0/*TSMCLK*2POWER13=8.192ms*/#defineWDT_MRST_0_5WDTPW+WDTCNTCL+WDTIS1/*TSMCLK*2POWER9=0.512ms*/#defineWDT_MRST_0_064WDTPW+WDTCNTCL+WDTIS1+WDTIS0/*TSMCLK*2POWER6=0.512ms*/*ACLK=32KHz看門狗模式*/#defineWDT_ARST_1000WDTPW+WDTCNTCL+WDTSSEL/*TACLK*2POWER15=1000ms*/#defineWDT_

13、ARST_250WDTPW+WDTCNTCL+WDTSSEL+WDTIS0/*TACLK*2POWER13=250ms*/#defineWDT_ARST_16WDTPW+WDTCNTCL+WDTSSEL+WDTIS1/*TACLK*2POWER9=16ms*/#defineWDT_ARST_1_9WDTPW+WDTCNTCL+WDTSSEL+WDTIS1+WDTIS0/*TACLK*2POWER6=1.9ms*/MSP430寄存器中文注釋-A/D采樣寄存器定義/*ADC12A/D采樣寄存器定義*/*ADC12轉(zhuǎn)換控制類寄存器*/#defineADC12CTL0_0 x0;/*ADC12Cont

14、rol0*/sfrwADC12CTL0=ADC12CTL0_;#defineADC12CTL1_0 x01A2/*ADC12Control1*/sfrwADC12CTL1=ADC12CTL1_;/*ADC12中斷控制類寄存器*/#defineADC12IFG_0 x01A4/*ADC12InterruptFlag*/sfrwADC12IFG=ADC12IFG_;#defineADC12IE_0 x01A6/*ADC12InterruptEnable*/sfrwADC12IE=ADC12IE_;#defineADC12IV_0 x01A8/*ADC12InterruptVectorWord*/s

15、frwADC12IV=ADC12IV_;/*ADC12存貯器類寄存器*/#defineADC12MEM_0 x0140/*ADC12ConversionMemory*/#ifndef_IAR_SYSTEMS_ICC#defineADC12MEMADC12MEM_/*ADC12ConversionMemory(forassembler)*/#else#defineADC12MEM(int*)ADC12MEM_)/*ADC12ConversionMemory(forC)*/#endif#defineADC12MEM0_ADC12MEM_/*ADC12ConversionMemory0*/sfrwA

16、DC12MEM0=ADC12MEM0_;#defineADC12MEM1_0 x0142/*ADC12ConversionMemory1*/sfrwADC12MEM1=ADC12MEM1_;#defineADC12MEM2_0 x0144/*ADC12ConversionMemory2*/sfrwADC12MEM2=ADC12MEM2_;#defineADC12MEM3_0 x0146/*ADC12ConversionMemory3*/sfrwADC12MEM3=ADC12MEM3_;#defineADC12MEM4_0 x0148/*ADC12ConversionMemory4*/sfrwA

17、DC12MEM4=ADC12MEM4_;#defineADC12MEM5_0 x014A/*ADC12ConversionMemory5*/sfrwADC12MEM5=ADC12MEM5_;#defineADC12MEM6_0 x014C/*ADC12ConversionMemory6*/sfrwADC12MEM6=ADC12MEM6_;#defineADC12MEM7_0 x014E/*ADC12ConversionMemory7*/sfrwADC12MEM7=ADC12MEM7_;#defineADC12MEM8_0 x0150/*ADC12ConversionMemory8*/sfrwA

18、DC12MEM8=ADC12MEM8_;#defineADC12MEM9_0 x0152/*ADC12ConversionMemory9*/sfrwADC12MEM9=ADC12MEM9_;#defineADC12MEM10_0 x0154/*ADC12ConversionMemory10*/sfrwADC12MEM10=ADC12MEM10_;#defineADC12MEM11_0 x0156/*ADC12ConversionMemory11*/sfrwADC12MEM11=ADC12MEM11_;#defineADC12MEM12_0 x0158/*ADC12ConversionMemor

19、y12*/sfrwADC12MEM12=ADC12MEM12_;#defineADC12MEM13_0 x015A/*ADC12ConversionMemory13*/sfrwADC12MEM13=ADC12MEM13_;#defineADC12MEM14_0 x015C/*ADC12ConversionMemory14*/sfrwADC12MEM14=ADC12MEM14_;#defineADC12MEM15_0 x015E/*ADC12ConversionMemory15*/sfrwADC12MEM15=ADC12MEM15_;/*ADC12存貯控制類寄存器*/#defineADC12MC

20、TL_0 x0080/*ADC12MemoryControl*/#ifndef_IAR_SYSTEMS_ICC#defineADC12MCTLADC12MCTL_/*ADC12MemoryControl(forassembler)*/#else#defineADC12MCTL(char*)ADC12MCTL_)/*ADC12MemoryControl(forC)*/#endif#defineADC12MCTL0_ADC12MCTL_/*ADC12MemoryControl0*/sfrbADC12MCTL0=ADC12MCTL0_;#defineADC12MCTL1_0 x0081/*ADC12

21、MemoryControl1*/sfrbADC12MCTL1=ADC12MCTL1_;#defineADC12MCTL2_0 x0082/*ADC12MemoryControl2*/sfrbADC12MCTL2=ADC12MCTL2_;#defineADC12MCTL3_0 x0083/*ADC12MemoryControl3*/sfrbADC12MCTL3=ADC12MCTL3_;#defineADC12MCTL4_0 x0084/*ADC12MemoryControl4*/sfrbADC12MCTL4=ADC12MCTL4_;#defineADC12MCTL5_0 x0085/*ADC12

22、MemoryControl5*/sfrbADC12MCTL5=ADC12MCTL5_;#defineADC12MCTL6_0 x0086/*ADC12MemoryControl6*/sfrbADC12MCTL6=ADC12MCTL6_;#defineADC12MCTL7_0 x0087/*ADC12MemoryControl7*/sfrbADC12MCTL7=ADC12MCTL7_;#defineADC12MCTL8_0 x0088/*ADC12MemoryControl8*/sfrbADC12MCTL8=ADC12MCTL8_;#defineADC12MCTL9_0 x0089/*ADC12

23、MemoryControl9*/sfrbADC12MCTL9=ADC12MCTL9_;#defineADC12MCTL10_0 x008A/*ADC12MemoryControl10*/sfrbADC12MCTL10=ADC12MCTL10_;#defineADC12MCTL11_0 x008B/*ADC12MemoryControl11*/sfrbADC12MCTL11=ADC12MCTL11_;#defineADC12MCTL12_0 x008C/*ADC12MemoryControl12*/sfrbADC12MCTL12=ADC12MCTL12_;#defineADC12MCTL13_0

24、 x008D/*ADC12MemoryControl13*/sfrbADC12MCTL13=ADC12MCTL13_;#defineADC12MCTL14_0 x008E/*ADC12MemoryControl14*/sfrbADC12MCTL14=ADC12MCTL14_;#defineADC12MCTL15_0 x008F/*ADC12MemoryControl15*/sfrbADC12MCTL15=ADC12MCTL15_;/*ADC12CTL0內(nèi)8位控制寄存器位*/#defineADC12SC0 x001/*采樣/轉(zhuǎn)換控制位*/#defineENC0 x002/*轉(zhuǎn)換允許位*/#def

25、ineADC12TOVIE0 x004/*轉(zhuǎn)換時間溢出中斷允許位*/#defineADC12OVIE0 x008/*溢出中斷允許位*/#defineADC12ON0 x010/*ADC12內(nèi)核控制位*/#defineREFON0 x020/*參考電壓控制位*/#defineREF2_5V0 x040/*內(nèi)部參考電壓的電壓值選擇位0為1.5V;1為2.5V*/#defineMSH0 x080/*多次采樣/轉(zhuǎn)換位*/#defineMSC0 x080/*多次采樣/轉(zhuǎn)換位*/*SHT0采樣保持定時器0控制ADC12的結(jié)果存貯器MEM0MEM7的采樣周期*/#defineSHT0_00*0 x100/*

26、采樣周期=TADC12CLK*4*/#defineSHT0_11*0 x100/*采樣周期=TADC12CLK*8*/#defineSHT0_22*0 x100/*采樣周期=TADC12CLK*16*/#defineSHT0_33*0 x100/*采樣周期=TADC12CLK*32*/#defineSHT0_44*0 x100/*采樣周期=TADC12CLK*64*/#defineSHT0_55*0 x100/*采樣周期=TADC12CLK*96*/#defineSHT0_66*0 x100/*采樣周期=TADC12CLK*128*/#defineSHT0_77*0 x100/*采樣周期=TA

27、DC12CLK*192*/#defineSHT0_88*0 x100/*采樣周期=TADC12CLK*256*/#defineSHT0_99*0 x100/*采樣周期=TADC12CLK*384*/#defineSHT0_1010*0 x100/*采樣周期=TADC12CLK*512*/#defineSHT0_1111*0 x100/*采樣周期=TADC12CLK*768*/#defineSHT0_1212*0 x100/*采樣周期=TADC12CLK*1024*/#defineSHT0_1313*0 x100/*采樣周期=TADC12CLK*1024*/#defineSHT0_1414*0

28、x100/*采樣周期=TADC12CLK*1024*/#defineSHT0_1515*0 x100/*采樣周期=TADC12CLK*1024*/*SHT1采樣保持定時器1控制ADC12的結(jié)果存貯器MEM8MEM15的采樣周期*/#defineSHT1_00*0 x100/*采樣周期=TADC12CLK*4*/#defineSHT1_11*0 x100/*采樣周期=TADC12CLK*8*/#defineSHT1_22*0 x100/*采樣周期=TADC12CLK*16*/#defineSHT1_33*0 x100/*采樣周期=TADC12CLK*32*/#defineSHT1_44*0 x1

29、00/*采樣周期=TADC12CLK*64*/#defineSHT1_55*0 x100/*采樣周期=TADC12CLK*96*/#defineSHT1_66*0 x100/*采樣周期=TADC12CLK*128*/#defineSHT1_77*0 x100/*采樣周期=TADC12CLK*192*/#defineSHT1_88*0 x100/*采樣周期=TADC12CLK*256*/#defineSHT1_99*0 x100/*采樣周期=TADC12CLK*384*/#defineSHT1_1010*0 x100/*采樣周期=TADC12CLK*512*/#defineSHT1_1111*0

30、 x100/*采樣周期=TADC12CLK*768*/#defineSHT1_1212*0 x100/*采樣周期=TADC12CLK*1024*/#defineSHT1_1313*0 x100/*采樣周期=TADC12CLK*1024*/#defineSHT1_1414*0 x100/*采樣周期=TADC12CLK*1024*/#defineSHT1_1515*0 x100/*采樣周期=TADC12CLK*1024*/*ADC12CTL1內(nèi)8位控制寄存器位*/#defineADC12BUSY0 x0001/*ADC12忙標(biāo)志位*/#defineCONSEQ_00*2/*單通道單次轉(zhuǎn)換*/#de

31、fineCONSEQ_11*2/*序列通道單次轉(zhuǎn)換*/#defineCONSEQ_22*2/*單通道多次轉(zhuǎn)換*/#defineCONSEQ_33*2/*序列通道多次轉(zhuǎn)換*/#defineADC12SSEL_00*8/*ADC12內(nèi)部時鐘源*/#defineADC12SSEL_11*8/*ACLK*/#defineADC12SSEL_22*8/*MCLK*/#defineADC12SSEL_33*8/*SCLK*/#defineADC12DIV_00*0 x20/*1分頻*/#defineADC12DIV_11*0 x20/*2分頻*/#defineADC12DIV_22*0 x20/*3分頻*

32、/#defineADC12DIV_33*0 x20/*4分頻*/#defineADC12DIV_44*0 x20/*5分頻*/#defineADC12DIV_55*0 x20/*6分頻*/#defineADC12DIV_66*0 x20/*7分頻*/#defineADC12DIV_77*0 x20/*8分頻*/#defineISSH0 x0100/*采樣輸入信號反向與否控制位*/#defineSHP0 x0200/*采樣信號(SAMPCON)選擇控制位*/#defineSHS_00*0 x400/*采樣信號輸入源選擇控制位ADC12SC*/#defineSHS_11*0 x400/*采樣信號輸

33、入源選擇控制位TIMER_A.OUT1*/#defineSHS_22*0 x400/*采樣信號輸入源選擇控制位TIMER_B.OUT0*/#defineSHS_33*0 x400/*采樣信號輸入源選擇控制位TIMER_B.OUT1*/*轉(zhuǎn)換存貯器地址定義位*/#defineCSTARTADD_00*0 x1000/*選擇MEM0首地址*/#defineCSTARTADD_11*0 x1000/*選擇MEM1首地址*/#defineCSTARTADD_22*0 x1000/*選擇MEM2首地址*/#defineCSTARTADD_33*0 x1000/*選擇MEM3首地址*/#defineCST

34、ARTADD_44*0 x1000/*選擇MEM4首地址*/#defineCSTARTADD_55*0 x1000/*選擇MEM5首地址*/#defineCSTARTADD_66*0 x1000/*選擇MEM6首地址*/#defineCSTARTADD_77*0 x1000/*選擇MEM7首地址*/#defineCSTARTADD_88*0 x1000/*選擇MEM8首地址*/#defineCSTARTADD_99*0 x1000/*選擇MEM9首地址*/#defineCSTARTADD_1010*0 x1000/*選擇MEM10首地址*/#defineCSTARTADD_1111*0 x10

35、00/*選擇MEM11首地址*/#defineCSTARTADD_1212*0 x1000/*選擇MEM12首地址*/#defineCSTARTADD_1313*0 x1000/*選擇MEM13首地址*/#defineCSTARTADD_1414*0 x1000/*選擇MEM14首地址*/#defineCSTARTADD_1515*0 x1000/*選擇MEM15首地址*/*ADC12MCTLx*/#defineINCH_00/*選擇模擬量通道0A0*/#defineINCH_11/*選擇模擬量通道0A1*/#defineINCH_22/*選擇模擬量通道0A2*/#defineINCH_33/

36、*選擇模擬量通道0A3*/#defineINCH_44/*選擇模擬量通道0A4*/#defineINCH_55/*選擇模擬量通道0A5*/#defineINCH_66/*選擇模擬量通道0A6*/#defineINCH_77/*選擇模擬量通道0A7*/#defineINCH_88/*VEREF+*/#defineINCH_99/*VEREF-*/#defineINCH_1010/*片內(nèi)溫度傳感器的輸出*/#defineINCH_1111/*(AVCC-AVSS)/2*/#defineINCH_1212/*(AVCC-AVSS)/2*/#defineINCH_1313/*(AVCC-AVSS)/2

37、*/#defineINCH_1414/*(AVCC-AVSS)/2*/#defineINCH_1515/*(AVCC-AVSS)/2*/*參考電壓源選擇位*/#defineSREF_00*0 x10/*VR+=AVCC;VR-=AVSS*/#defineSREF_11*0 x10/*VR+=VREF+;VR-=AVSS*/#defineSREF_22*0 x10/*VR+=VEREF+;VR-=AVSS*/#defineSREF_33*0 x10/*VR+=VEREF+;VR-=AVSS*/#defineSREF_44*0 x10/*VR+=AVCC;VR-=VREF-*/#defineSRE

38、F_55*0 x10/*VR+=VREF+;VR-=VREF-*/#defineSREF_66*0 x10/*VR+=VEREF+;VR-=VREF-*/#defineSREF_77*0 x10/*VR+=VEREF+;VR-=VREF-*/#defineEOS0 x80/*序列結(jié)束選擇位*/MSP430寄存器中文注釋-串口寄存器/*USART串口寄存器UCTL,UTCTL,URCTL定義的各個位可串口1串口2公用*/*UCTL串口控制寄存器*/#definePENA0 x80/*校驗(yàn)允許位*/#definePEV0 x40/*偶校驗(yàn)為0時為奇校驗(yàn)*/#defineSPB0 x20/*停止位為

39、2為0時停止位為1*/#defineCHAR0 x10/*數(shù)據(jù)位為8位為0時數(shù)據(jù)位為7位*/#defineLISTEN0 x08/*自環(huán)模式(發(fā)數(shù)據(jù)同時在把發(fā)的數(shù)據(jù)接收回來)*/#defineSYNC0 x04/*同步模式為0異步模式*/#defineMM0 x02/*為1時地址位多機(jī)協(xié)議(異步)主機(jī)模式(同步);為0時線路空閑多機(jī)協(xié)議(異步)從機(jī)模式(同步)*/#defineSWRST0 x01/*控制位*/*UTCTL串口發(fā)送控制寄存器*/#defineCKPH0 x80/*時鐘相位控制位(只同步方式用)為1時時鐘UCLK延時半個周期*/#defineCKPL0 x40/*時鐘極性控制位為

40、1時異步與UCLK相反;同步下降延有效*/#defineSSEL10 x20/*時鐘源選擇位:與SSEL0組合為0,1,2,3四種方式*/#defineSSEL00 x10/*0選擇外部時鐘,1選擇輔助時鐘,2,3選擇系統(tǒng)子時鐘*/#defineURXSE0 x08/*接收觸發(fā)延控制位(只在異步方式下用)*/#defineTXWAKE0 x04/*多處理器通信傳送控制位(只在異步方式下用)*/#defineSTC0 x02/*外部引腳STE選擇位為0時為4線模式為1時為3線模式*/#defineTXEPT0 x01/*發(fā)送器空標(biāo)志*/*URCTL串口接收控制寄存器同步模式下只用兩位:FE和OE

41、*/#defineFE0 x80/*幀錯標(biāo)志*/#definePE0 x40/*校驗(yàn)錯標(biāo)志位*/#defineOE0 x20/*溢出標(biāo)志位*/#defineBRK0 x10/*打斷檢測位*/#defineURXEIE0 x08/*接收出錯中斷允許位*/#defineURXWIE0 x04/*接收喚醒中斷允許位*/#defineRXWAKE0 x02/*接收喚醒檢測位*/#defineRXERR0 x01/*接收錯誤標(biāo)志位*/*USART0串口0寄存器定義*/#defineU0CTL_0 x0070/*UART0Control*/sfrbU0CTL=U0CTL_;#defineU0TCTL_0

42、x0071/*UART0TransmitControl*/sfrbU0TCTL=U0TCTL_;#defineU0RCTL_0 x0072/*UART0ReceiveControl*/sfrbU0RCTL=U0RCTL_;#defineU0MCTL_0 x0073/*UART0ModulationControl*/sfrbU0MCTL=U0MCTL_;#defineU0BR0_0 x0074/*UART0BaudRate0*/sfrbU0BR0=U0BR0_;#defineU0BR1_0 x0075/*UART0BaudRate1*/sfrbU0BR1=U0BR1_;#defineU0RXBU

43、F_0 x0076/*UART0ReceiveBuffer*/constsfrbU0RXBUF=U0RXBUF_;#defineU0TXBUF_0 x0077/*UART0TransmitBuffer*/sfrbU0TXBUF=U0TXBUF_;/*Alternateregisternames*/#defineUCTL0_0 x0070/*UART0Control*/sfrbUCTL0=UCTL0_;#defineUTCTL0_0 x0071/*UART0TransmitControl*/sfrbUTCTL0=UTCTL0_;#defineURCTL0_0 x0072/*UART0Receiv

44、eControl*/sfrbURCTL0=URCTL0_;#defineUMCTL0_0 x0073/*UART0ModulationControl*/sfrbUMCTL0=UMCTL0_;#defineUBR00_0 x0074/*UART0BaudRate0*/sfrbUBR00=UBR00_;#defineUBR10_0 x0075/*UART0BaudRate1*/sfrbUBR10=UBR10_;#defineRXBUF0_0 x0076/*UART0ReceiveBuffer*/constsfrbRXBUF0=RXBUF0_;#defineTXBUF0_0 x0077/*UART0

45、TransmitBuffer*/sfrbTXBUF0=TXBUF0_;#defineUCTL_0_0 x0070/*UART0Control*/sfrbUCTL_0=UCTL_0_;#defineUTCTL_0_0 x0071/*UART0TransmitControl*/sfrbUTCTL_0=UTCTL_0_;#defineURCTL_0_0 x0072/*UART0ReceiveControl*/sfrbURCTL_0=URCTL_0_;#defineUMCTL_0_0 x0073/*UART0ModulationControl*/sfrbUMCTL_0=UMCTL_0_;#defineUBR0_0_0 x0074/*UART0BaudRate0*/sfrbUBR0_0=UBR0_0_;#defineUBR1_0_0 x0075/*UART0BaudRate1*/sfrbUBR1_0=UBR1_0_;#defineRXBUF_0_0 x0076/*UART0ReceiveBuffer*/constsfrbRXBUF_0=RXBUF_0_;#defineTXBUF_0_0 x0077/*UART0TransmitBuffer*/sf

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論