計(jì)數(shù)定時(shí)接口1718課件_第1頁(yè)
計(jì)數(shù)定時(shí)接口1718課件_第2頁(yè)
計(jì)數(shù)定時(shí)接口1718課件_第3頁(yè)
計(jì)數(shù)定時(shí)接口1718課件_第4頁(yè)
計(jì)數(shù)定時(shí)接口1718課件_第5頁(yè)
已閱讀5頁(yè),還剩102頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、計(jì)數(shù)定時(shí)接口1718課件計(jì)數(shù)定時(shí)接口1718課件25.4.1 可編程定時(shí)/計(jì)數(shù)器8253掌握:引腳功能及計(jì)數(shù)啟動(dòng)方法6種工作方式及其輸出波形8253的使用:芯片與系統(tǒng)的連接芯片的初始化編程45.4.1 可編程定時(shí)/計(jì)數(shù)器8253掌握:3一、外部引線及內(nèi)部結(jié)構(gòu)8253概貌3個(gè)16位的定時(shí)/計(jì)數(shù)器(通道)24引腳雙列直插式最高計(jì)數(shù)頻率2MHzTTL電平兼容單電源+5V供電5一、外部引線及內(nèi)部結(jié)構(gòu)8253概貌465外部引線及內(nèi)部結(jié)構(gòu)DBD7-D08253A1A0WRRDCS通道2通道1通道0CLK0GATE0OUT0CLK1GATE1OUT1CLK1GATE1OUT1A1A0IOWIOR片選信號(hào)7外

2、部引線及內(nèi)部結(jié)構(gòu)DBD7-D08253A1A0WRRDC6與系統(tǒng)總線連接的主要引腳:D7D0(數(shù)據(jù)線)CSRDWRA1,A0 (地址線)用于選擇四個(gè)編址部件之一A1 A0 選 擇0 0 計(jì)數(shù)通道00 1 計(jì)數(shù)通道11 0 計(jì)數(shù)通道21 1 控制寄存器8與系統(tǒng)總線連接的主要引腳:A1 A0 7定時(shí)/計(jì)數(shù)器芯片與外部連接的主要引腳:每通道均相同CLKn 時(shí)鐘脈沖輸入,計(jì)數(shù)器的計(jì)時(shí)基準(zhǔn)。GATEn 門控信號(hào)輸入,控制計(jì)數(shù)器的啟停。OUTn 計(jì)數(shù)器輸出信號(hào),不同工作方式下 產(chǎn)生不同波形。 (n = 02) 9定時(shí)/計(jì)數(shù)器芯片與外部連接的主要引腳:8定時(shí)/計(jì)數(shù)器8253內(nèi)部:有3個(gè)定時(shí)/計(jì)數(shù)器: 定時(shí)/

3、計(jì)數(shù)器0 定時(shí)/計(jì)數(shù)器1 定時(shí)/計(jì)數(shù)器2 每個(gè)計(jì)數(shù)器均包括:一個(gè)16位初值寄存器和一個(gè)16位計(jì) 數(shù)寄存器(減法計(jì)數(shù)器)。有1個(gè)8位控制寄存器 存放控制命令字 10定時(shí)/計(jì)數(shù)器8253內(nèi)部:9通過(guò)對(duì)4個(gè)端口地址的訪問(wèn)可實(shí)現(xiàn)如下操作:操作 0 0 1 00定時(shí)/計(jì)數(shù)器0讀訪問(wèn)0 1 0 00 定時(shí)/記數(shù)器0寫訪問(wèn)0 0 1 01 定時(shí)/記數(shù)器1讀訪問(wèn)0 1 0 01 定時(shí)/記數(shù)器1寫訪問(wèn)0 0 1 10 定時(shí)/記數(shù)器2讀訪問(wèn)0 1 0 10 定時(shí)/記數(shù)器2寫訪問(wèn)0 1 0 11 控制寄存器寫訪問(wèn)11通過(guò)對(duì)4個(gè)端口地址的訪問(wèn)可實(shí)現(xiàn)如下操作:操作 010定時(shí)/計(jì)數(shù)器的工作過(guò)程 1. 設(shè)置8253的工作

4、方式; 2. 設(shè)置計(jì)數(shù)初值到初值寄存器; 3. 第一個(gè)CLK信號(hào)使初值寄存器的內(nèi)容置入 計(jì)數(shù)寄存器; 4. 以后每來(lái)一個(gè)CLK信號(hào),計(jì)數(shù)寄存器減1 ; 5. 減到0時(shí),OUT端輸出一特殊波形的信號(hào)。注:以上計(jì)數(shù)過(guò)程中還受到GATE信號(hào)的控制12定時(shí)/計(jì)數(shù)器的工作過(guò)程 1. 設(shè)置8253的工作方式;11二、計(jì)數(shù)啟動(dòng)方式軟件啟動(dòng)過(guò)程GATE端保持為高電平,當(dāng)寫入計(jì)數(shù)初值 后的第2個(gè) CLK脈沖的下降沿開始數(shù)。硬件啟動(dòng)過(guò)程GATE端出現(xiàn)有一個(gè)上升沿后,對(duì)應(yīng)CLK 脈沖的下降沿開始計(jì)數(shù)。程序指令啟動(dòng)軟件啟動(dòng)。外部電路信號(hào)啟動(dòng)硬件啟動(dòng)。13二、計(jì)數(shù)啟動(dòng)方式軟件啟動(dòng)過(guò)程GATE端保持為高電平,12三、工作

5、方式方式0計(jì)數(shù)結(jié)束中斷請(qǐng)求方式;方式1可編程單脈沖方式(單穩(wěn)態(tài)觸發(fā)器);方式2頻率發(fā)生器(連續(xù)負(fù)脈沖發(fā)生器);方式3方波發(fā)生器;方式4軟件觸發(fā)選通;方式5硬件觸發(fā)選通;14三、工作方式方式0計(jì)數(shù)結(jié)束中斷請(qǐng)求方式;13工作方式介紹方式0(計(jì)數(shù)結(jié)束中斷) 軟件啟動(dòng),不自動(dòng)重復(fù)計(jì)數(shù)。 裝入方式字OUT端變低電平,寫入計(jì)數(shù)初值后,每一次時(shí)鐘CLK下跳沿做一次減1計(jì)數(shù),減1到0計(jì)數(shù)結(jié)束OUT輸出高電平。方式1 (單穩(wěn)態(tài)觸發(fā)器) 硬件啟動(dòng),不自動(dòng)重復(fù)計(jì)數(shù)。 裝入方式字和計(jì)數(shù)初值后OUT端為電平,當(dāng)GATE端有上跳變脈沖時(shí),OUT端變?yōu)榈碗娖剑?jì)數(shù)開始,每一次時(shí)鐘CLK下跳沿做一次減1計(jì)數(shù),減1到0計(jì)數(shù)結(jié)束

6、OUT輸出高電平。 15工作方式介紹方式0(計(jì)數(shù)結(jié)束中斷) 軟件啟動(dòng)14方式2(頻率發(fā)生器) 可軟、硬件啟動(dòng),自動(dòng)重復(fù)計(jì)數(shù)。 裝入方式字和初值后OUT端變高電平,每一次時(shí)鐘CLK下跳沿做一次減1計(jì)數(shù),計(jì)數(shù)至1時(shí)OUT輸出一個(gè)時(shí)鐘周期的負(fù)脈沖,計(jì)數(shù)初值又自動(dòng)賦值給減1計(jì)數(shù)器,并連續(xù)重復(fù)上述過(guò)程。注:設(shè)CLK時(shí)鐘周期為tg,計(jì)數(shù)初始值為N,則連續(xù)負(fù)脈沖周期T=N*tg。16方式2 可軟、硬件啟動(dòng),自動(dòng)重復(fù)計(jì)數(shù)。15方式3(方波發(fā)生器) 可軟、硬件啟動(dòng),自動(dòng)重復(fù)計(jì)數(shù)。 裝入方式字和初值后OUT端為高電平,然后OUT連續(xù)輸出對(duì)稱方波:計(jì)數(shù)初值N為偶數(shù)時(shí),正負(fù)波對(duì)稱,均為 N/2個(gè)CLK寬;計(jì)數(shù)初值N為

7、奇數(shù)時(shí),正負(fù)波不對(duì)稱,正波(N+1)/2 個(gè)CLK,負(fù)波為(N-1)/2 個(gè)CLK。注:當(dāng)計(jì)數(shù)初值N為偶數(shù)時(shí),輸出方波對(duì)稱,當(dāng)N為奇數(shù)時(shí),輸出方波不對(duì)稱。 設(shè)CLK時(shí)鐘周期為tg,計(jì)數(shù)初始值為N,則連續(xù)方波周期T=N*tg。17方式3 可軟、硬件啟動(dòng),自動(dòng)重復(fù)計(jì)數(shù)。注:16方式4(軟件觸發(fā)選通) 軟件啟動(dòng),不自動(dòng)重復(fù)計(jì)數(shù)。 裝入方式字和初值后輸出端變高電平,每一次時(shí)鐘CLK下跳沿做一次減1計(jì)數(shù),計(jì)數(shù)結(jié)束輸出一個(gè)CLK寬度的負(fù)脈沖。方式5 (硬件觸發(fā)選通) 硬件啟動(dòng),不自動(dòng)重復(fù)計(jì)數(shù)。 OUT端波形與方式4相同。18方式4(軟件觸發(fā)選通) 軟件啟動(dòng),不自動(dòng)17四、8253控制字用于確定各計(jì)數(shù)器的工

8、作方式。8253必須先初始化才能正常工作。每個(gè)計(jì)數(shù)器都必須初始化一次。CPU通過(guò)OUT指令把控制字寫入控制寄存器。19四、8253控制字用于確定各計(jì)數(shù)器的工作方式。18格 式注:二進(jìn)制計(jì)數(shù)最大計(jì)數(shù)值為FFFFH十進(jìn)制計(jì)數(shù)(BCD碼計(jì)數(shù))最大計(jì)數(shù)值9999H20格 式注:8253回顧1.假設(shè)8253與系統(tǒng)接口地址為80H-83H,則定時(shí)器/計(jì)數(shù)器0的地址為( )。 A 80H B 81H C 82H D 83H 2.計(jì)算機(jī)只能對(duì)8253進(jìn)行寫操作的端口是( )。A. 定時(shí)器/計(jì)數(shù)器0通道;B. 定時(shí)器/計(jì)數(shù)器1通道;C.定時(shí)器/計(jì)數(shù)器2通道;D. 控制寄存器;198253回顧1.假設(shè)8253與系

9、統(tǒng)接口地址為80H-83H,3. 8253有( )個(gè)獨(dú)立16位可編程定時(shí)器/計(jì)數(shù)器,每個(gè)定時(shí)器/計(jì)數(shù)器通道均有( )種工作方式,如果對(duì)8253控制口寫入如下控制字CW=10110110B,則對(duì)8253進(jìn)行了( )初始化。4. 8253定時(shí)器/計(jì)數(shù)器啟動(dòng)方式有兩種分別是( )和( )。 203. 8253有( )個(gè)獨(dú)立16位可編程21五、8253的應(yīng)用如何與系統(tǒng)總線連接?如何對(duì)8253編程? 設(shè)置工作方式 置計(jì)數(shù)初值23五、8253的應(yīng)用如何與系統(tǒng)總線連接?228253與系統(tǒng)總線的連接CLKiGATEiOUTiD0D7WRRDA1A0CSDBIOWIORA1A0譯碼器高位地址A15-A28253

10、共三組8253占用4個(gè)接口地址: 計(jì)數(shù)器0 計(jì)數(shù)器1 計(jì)數(shù)器2 控制寄存器248253與系統(tǒng)總線的連接CLKiGATEiOUTiD023例 給8088系統(tǒng)總線連接一片8253定時(shí)計(jì)數(shù)器,要求端口地址為80H-83H。畫出接口硬件圖。例 給8086系統(tǒng)總線連接一片8253定時(shí)計(jì)數(shù)器,要求端口地址為80H、82H、84H、86H。畫出接口硬件圖。(與8086CPU連接的I/O端口地址必須都是偶地址)25例 給8088系統(tǒng)總線連接一片8253定時(shí)計(jì)數(shù)器,要求248253編程 初始化程序流程寫控制字寫計(jì)數(shù)值低8位寫計(jì)數(shù)值高8位*非必須寫入順序: 可按計(jì)數(shù)器分別寫入控制字和初值。 也可先寫所有計(jì)數(shù)器控制

11、字,再寫入它們的初值268253編程 初始化程序流程寫25例: 8253的計(jì)數(shù)器2用作方式2,將頻率為1.19MHz的輸入脈沖轉(zhuǎn)變成頻率為500Hz的脈沖信號(hào)。8253的端口地址為70H73H。試編寫初始化程序段。解: N1.19106/500 = 2380 094CH則其初始化程序段為: MOV AL,0B4H;10110100,計(jì)數(shù)器2方式2二進(jìn)制計(jì)數(shù)OUT 73H,AL;控制字送入控制寄存器MOV AL,4CHOUT 72H,AL;計(jì)數(shù)值低8位送入計(jì)數(shù)器2MOV AL,09HOUT 72H,AL ;計(jì)數(shù)值高8位送入計(jì)數(shù)器2 27例: 8253的計(jì)數(shù)器2用作方式2,將頻率為1.19MH26

12、例: 采用8253作定時(shí)/計(jì)數(shù)器,其接口地址為0120H0123H。輸入8253的時(shí)鐘頻率為2MHz。計(jì)數(shù)器0: 每10ms輸出1個(gè)CLK脈沖寬的負(fù)脈沖(方式2)計(jì)數(shù)器1: 產(chǎn)生10KHz的連續(xù)方波信號(hào) (方式3) 計(jì)數(shù)器2: 啟動(dòng)計(jì)數(shù)5ms后OUT輸出高電平(方式0)畫線路連接圖,并編寫初始化程序。 28例: 采用8253作定時(shí)/計(jì)數(shù)器,其接口地址為01227CLK0GATE0OUT1D0D7WRRDA1A0CSDBWRRDA1A0譯碼器8253CLK2GATE1GATE2+5VCLK12MHzOUT0OUT2?線路連接圖:29CLK0GATE0OUT1D0D7WRRDA1A0CS28確定計(jì)

13、數(shù)初值: CNT0: N0=10ms/0.5us = 20000 (65535) CNT1: N1=2MHz/10KHz = 200 CNT2: N3=5ms/0.5us = 10000確定控制字: CNT0:方式2,16位計(jì)數(shù)值 00 11 010 0 CNT1:方式3,低8位計(jì)數(shù)值 01 01 011 0 CNT2:方式0, 16位計(jì)數(shù)值 10 11 000 030確定計(jì)數(shù)初值:298253應(yīng)用舉例 初始化程序CNT0:MOV DX, 0123HMOV AL, 34HOUT DX, ALMOV DX, 0120HMOV AX, 20000OUT DX, ALMOV AL, AHOUT DX

14、, ALCNT1: MOV DX, 0123HMOV AL, 56HOUT DX, ALMOV DX, 0121HMOV AL, 200OUT DX, ALCNT2:MOV DX, 0123HMOV AL, 0B0HOUT DX, ALMOV DX, 0122HMOV AX,10000OUT DX, ALMOV AL, AHOUT DX, AL318253應(yīng)用舉例 初始化程序CNT0:MOV 30*如何擴(kuò)展定時(shí)/計(jì)數(shù)范圍? 當(dāng)定時(shí)長(zhǎng)度不夠時(shí),可把2個(gè)或3個(gè)計(jì)數(shù)通道串聯(lián)起來(lái)使用,甚至可把多個(gè)8253串聯(lián)起來(lái)使用。 例:CLK頻率為1MHz,要求在OUT1端產(chǎn)生頻率1Hz的脈沖。 32*如何擴(kuò)展定

15、時(shí)/計(jì)數(shù)范圍? 當(dāng)定時(shí)長(zhǎng)度不夠31這時(shí)可將計(jì)數(shù)器0、1串聯(lián),工作方式都均為方式3,計(jì)數(shù)初值均為1000。連接方法見下圖。8253OUT1GATE1CLK1OUT0GATE0CLK0+5V+5V1MHz1KHz1Hz33這時(shí)可將計(jì)數(shù)器0、1串聯(lián),工作方式都均為方式3,計(jì)數(shù)初值328253小結(jié)包含3個(gè)16位計(jì)數(shù)器通道4個(gè)編址部件:CNT0/1/2和控制寄存器每個(gè)計(jì)數(shù)器通道工作前必須初始化:控制字和計(jì)數(shù)初值6種工作方式每種工作方式:?jiǎn)?dòng)方式、輸出波形、是否可重復(fù)計(jì)數(shù)等各不相同.348253小結(jié)包含3個(gè)16位計(jì)數(shù)器通道335.5 可編程并行接口芯片8255特點(diǎn):含3個(gè)獨(dú)立的8位并行輸入/輸出端口,各端

16、口均具有數(shù)據(jù)的控制和鎖存能力;可通過(guò)編程設(shè)置各端口的工作方式和數(shù)據(jù)傳送方向(入/出/雙向)。355.5 可編程并行接口芯片8255特點(diǎn):34一、引腳介紹連接系統(tǒng)端的主要引線:D0D7CSRDWRA0,A1 RESET復(fù)位信號(hào),接系統(tǒng)總線的RESETA1 A0 選擇0 0 端口A0 1 端口B1 0 端口C1 1 控制寄存器(只寫口)36一、引腳介紹連接系統(tǒng)端的主要引線:A1 A0 注: 8255復(fù)位后(1)控制寄存器清零;(2)A、B、C三個(gè)端口皆為輸入口,且內(nèi)容皆為0; 35注: 8255復(fù)位后3736引腳(續(xù))連接外設(shè)端的引腳:PA0PA7PB0PB7PC0PC7分別對(duì)應(yīng)A、B、C三個(gè)8位

17、輸入/輸出端口 三個(gè)端口可通過(guò)編程分別指定為輸入或輸出口。其中,C口即可用作獨(dú)立的輸入/輸出口,也可用作A、B口的控制信號(hào)或狀態(tài)信號(hào)。38引腳(續(xù))連接外設(shè)端的引腳:分別對(duì)應(yīng)A、B、C三個(gè)8位輸37二、8255與8088系統(tǒng)的連接示意圖D0D7WRRDA1A0CSDBIOWIORA1A0譯碼器8255A口B口C口D0D7外 設(shè)A15A2系統(tǒng)總線39二、8255與8088系統(tǒng)的連接示意圖D0D7WRRD38三、8255工作方式基本輸入/輸出方式(方式0),(A、B、C口)選通輸入/輸出方式(方式1),(僅A、B口)雙向傳送方式(方式2),(僅A口) 某端口工作于哪一種方式,可通過(guò)軟件編程來(lái)指定。

18、即向8255寫入方式控制字來(lái)決定其工作方式。40三、8255工作方式基本輸入/輸出方式(方式0),(A、391 、 工作方式0要點(diǎn):8255 A口、B口、C口均為工作方式0時(shí),相當(dāng)于三個(gè)獨(dú)立的8位簡(jiǎn)單接口。A、B端口既可設(shè)置為輸入口,也可設(shè)置為輸出口,但不能同時(shí)實(shí)現(xiàn)輸入及輸出。C端口即可以是一個(gè)8位的簡(jiǎn)單接口,也可以分為兩個(gè)獨(dú)立的4位端口,分別設(shè)定輸入輸出方向。411 、 工作方式0要點(diǎn):40A口、B口、C口均為方式0使用時(shí),輸入或輸出方向可由方式字設(shè)置,如下所示:42A口、B口、C口均為方式0使用時(shí),輸入或輸出方向可由方式412 、 工作方式1 只有A、B口可使用方式1。當(dāng)A、B口以方式1作

19、輸入或輸出口使用時(shí),C口就不能做I/O口使用了,C口的部分位固定用作A、B口的選通控制信號(hào)或狀態(tài)信號(hào)。432 、 工作方式1 42例如:A口、B口均為方式1輸入時(shí), C口的引腳功能STB選通信號(hào)。它將外設(shè)數(shù)據(jù)送入8255的輸入緩沖器。IBF輸入緩沖器滿。CPU用IN指令取走數(shù)據(jù)后,此信號(hào)被清除。INTR中斷請(qǐng)求。STB的后沿產(chǎn)生,可用于中斷CPU,讓CPU讀走輸入鎖存器中的數(shù)據(jù)。 是否允許發(fā)出INTR請(qǐng)求,受中斷允許位INTE控制。只有在 INTE =1且IBF為高電平時(shí),才發(fā)出INTR請(qǐng)求信號(hào)。44例如:A口、B口均為方式1輸入時(shí), C口的引腳功能S434544(2)A口、B口均為方式1輸出

20、時(shí) C口的引腳功能OBF輸出緩沖器滿,低電平有效,通知外設(shè)取走數(shù)據(jù)。ACK外設(shè)響應(yīng)信號(hào),表示已從數(shù)據(jù)端口取走數(shù)據(jù)。此信號(hào)使OBF變高。INTR8255發(fā)出的中斷請(qǐng)求信號(hào),通知CPU輸出下一個(gè)數(shù)據(jù)。 是否允許8255產(chǎn)生INTR信號(hào),由中斷允許位INTE控制,只有在INTE=1和OBF為高電平時(shí)(輸出緩沖器空)時(shí),INTR才有效。46(2)A口、B口均為方式1輸出時(shí) C口的引腳功能OBF4547463 、工作方式2(雙向方式) 只有A口可工作在方式2下,A口工作在方式2時(shí),C口的5條線為A口提供傳輸聯(lián)絡(luò)信號(hào)。 方式2為雙向方式既是輸入口,又是輸出口。 方式2時(shí)C口的引腳功能 A口做輸入口使用時(shí),

21、能否發(fā)中斷請(qǐng)求,受INTE2控制;A口做輸出口使用時(shí)能否發(fā)出中斷請(qǐng)求,受INTE1控制。 483 、工作方式2(雙向方式) 47方式2的應(yīng)用說(shuō)明: 當(dāng)A口工作于方式2時(shí),B口允許工作于方式0或方式1。(1)當(dāng)A口工作于方式2,B口工作于方式0時(shí)PC口引腳功能為:(2)當(dāng)A口工作于方式2,B口工作于方式1輸入時(shí)PC口引腳功能為:49方式2的應(yīng)用說(shuō)明: 當(dāng)A口工作于方式248(3)當(dāng)A口工作于方式2,B口工作于方式1輸出時(shí)PC口引腳功能為:50(3)當(dāng)A口工作于方式2,B口工作于方式1輸出時(shí)PC口引49各種工作方式下如何設(shè)定8255的中斷允許:INTE可利用對(duì)C口的位控操作來(lái)設(shè)置:方式1輸入: A

22、口的INTEA:對(duì)PC4按位置1或清0 B口的INTEB:對(duì)PC2按位置1或清0方式1輸出: A口的INTEA:對(duì)PC6按位置1或清0 B口的INTEB:對(duì)PC2按位置1或清0雙向方式_方式2 INTE1:對(duì)PC.bit6按位置1或清0 INTE2:對(duì)PC.bit4按位置1或清0如何對(duì)PC口進(jìn)行位控操作見8255編程!51各種工作方式下如何設(shè)定8255的中斷允許:INTE可利用50四、8255 的初始化編程 包含兩個(gè)內(nèi)容:工作方式設(shè)置及對(duì)PC口按位操作 設(shè)定8255工作方式以及對(duì)PC口按位操作均是通過(guò)對(duì)8255的控制寄存器寫操作完成的。方式控制字:確定3個(gè)端口的工作方式;C口按位控制字:對(duì)82

23、55 PC口按位置1或清0;52四、8255 的初始化編程 包含兩個(gè)內(nèi)容:工作51方式控制字53方式控制字52C口按位置1或清0控制字54C口按位置1或清0控制字8255知識(shí)回顧1、8255是一個(gè)可編程并行接口芯片,在與計(jì)算機(jī)總線連接時(shí),可形成( )個(gè)端口地址。若端口地址是F8H、F9H、FAH和FBH,則PA口地址是( ),控制寄存器口地址是( )。2、8255的PA口、PB口、PC口均為( )位并行接口,可通過(guò)對(duì)8255進(jìn)行初始化編程來(lái)設(shè)置各端口的工作方式和傳輸方向,當(dāng)PA、PB口選擇方式1、方式2使用時(shí),C口就不能做為( )使用了,C口的部分位固定用作A、B口的( )信號(hào)或( )信號(hào)。3

24、、PA口選擇方式1輸入口使用時(shí),8255能發(fā)出INTRA中斷請(qǐng)求信號(hào)的條件是( )。4、8255初始化編程包括( )和( ),兩項(xiàng)內(nèi)容均寫入8255的( )端口。5、設(shè)8255端口地址是200H-203H,PA口選擇方式1輸入口使用,不允許中斷,PB口選擇方式1輸出口使用,允許中斷,對(duì)8255初始化編程。8255知識(shí)回顧1、8255是一個(gè)可編程并行接口芯片,在與計(jì)54例 設(shè)8255與系統(tǒng)連接形成的端口地址為120H123H。設(shè)定A 口為方式1輸入,B口為方式0輸出,允許A口中斷,C口I/O線設(shè)定為輸出。編程對(duì)8255初始化。56例 設(shè)8255與系統(tǒng)連接形成的端口地址為120H55五、8255芯

25、片的應(yīng)用8255芯片與系統(tǒng)的連接;8255編程: 包括8255芯片的初始化(設(shè)置8255各口工作方式、按位設(shè)置C口指定位狀態(tài)) 對(duì)8255相應(yīng)輸入/或輸出口的訪問(wèn)編程;57五、8255芯片的應(yīng)用8255芯片與系統(tǒng)的連接;56例1 在看懂下面硬件圖基礎(chǔ)上按下列要求對(duì)8255和8253編程。 要求:(1)當(dāng)開關(guān)閉合時(shí),使相應(yīng)繼電器通電動(dòng)作;開關(guān)斷開時(shí),繼電器不動(dòng)作;(2)系統(tǒng)每隔100ms檢測(cè)一次開關(guān)狀態(tài),實(shí)現(xiàn)相應(yīng)的繼電器控制;(3)初始狀態(tài)下繼電器不動(dòng)作。58例1 在看懂下面硬件圖基礎(chǔ)上按下列要求對(duì)8255和825710HzCSA0A1WRRDDBPA0PA7PB0PB7+5V+12VK繼電器3

26、84H387H388H38BHCSA0A1OUT1CLK12MHzCLK0OUT08259APICWRRDDB82538255INTRQ1R1R2D12KHzA1A0IORIOWD7-D05910HzCSA0A1WRRDDBPA0PA7PB058題目分析:使8255的A端口和B端口均工作于方式0;且A口為輸出,B口為輸入。8253計(jì)數(shù)器0和計(jì)數(shù)器1均工作于方式3,利用OUT0的輸出作為計(jì)數(shù)器1的時(shí)鐘信號(hào),其輸出頻率為2KHz, OUT1輸出頻率為10Hz(周期100ms);OUT1作為中斷信號(hào),每100ms產(chǎn)生一次中斷;CPU響應(yīng)中斷后檢測(cè)開關(guān)狀態(tài),控制繼電器的動(dòng)作;8253兩個(gè)計(jì)數(shù)器的計(jì)數(shù)初

27、值分別為: CNT0:2MHz/2KHz =1000 (16位) CNT1:100ms/0.5ms=200 (8位)60題目分析:使8255的A端口和B端口均工作于方式0;且A598255和8253的初始化;-8255初始化-MOV DX,387HMOV AL,82H ;1 00 0 0 0 1 0OUT DX,AL XOR AL,AL ;A口輸出全0MOV DX,384HOUT DX,AL;-8253初始化-MOV DX ,38BHMOV AL,00110110BOUT DX,ALMOV AL,01010110BOUT DX,ALMOV DX,388HMOV AX,1000OUT DX,AL

28、MOV AL,AHOUT DX,ALMOV DX,289HMOV AL,200OUT DX,AL618255和8253的初始化;-608259編程略。 8253每100ms產(chǎn)生一次中斷請(qǐng)求,進(jìn)入中斷服務(wù)程序后對(duì)8255相應(yīng)輸入/或輸出口的訪問(wèn)編程:;-100mS中斷服務(wù)程序- 100mSINT: MOV DX,385H ;PB口地址 IN AL,DX ;讀PB0狀態(tài) NOT AL ;變反 MOV DX,384H ;輸出到繼電器 OUT DX,AL IRET628259編程略。61例2 看懂硬件圖,對(duì)8255編程完成將內(nèi)存BUFF開始存儲(chǔ)的100字節(jié)數(shù)據(jù)送打印機(jī)打印。設(shè)8255端口地址為:200

29、H-203H。63例2 看懂硬件圖,對(duì)8255編程完成將內(nèi)存BUFF開始6264;-8255初始化- MOV DX,203H MOV AL,10100000B ;A口設(shè)置為方式1輸出,C口 I/O線設(shè)置為輸出 OUT DX,AL MOV AL,00001101B ;允許A口中斷(PC6置1) OUT DX,AL MOV AL,00000000BH OUT DX,AL ;打印機(jī)初始狀態(tài)未啟動(dòng) ;-8255初始化-START: . . . MOV SI,OFFSET BUFF MOV CX,100 STI ;CPU開中斷WAIT: MOV DX,203H MOV AL,00000001B OUT

30、DX,AL ;啟動(dòng)打印機(jī)等待中斷請(qǐng)求 JCXZ RETX JMP WAIT RETX: HLT START: .;-INTRA中斷服務(wù)程序- PUSH AX PUSH DX STI MOV AL, SI MOV DX,200H OUT DX,AL INC SI DEC CX POP DX POP AX IRET;-INTRA中斷服務(wù)程序-665.6可編程串行通信接口了解:串行通信的一般概念工作方式、同步方式、數(shù)據(jù)格式、物理標(biāo)準(zhǔn)串行通信的接口標(biāo)準(zhǔn)EIA RS-232C可編程串行異步通信接口8250(UART)連接、編程、應(yīng)用UART: Universal Asynchronous Receive

31、r Transmitter*685.6可編程串行通信接口了解:*677.4.1串行通信基本概念串行通信: 每個(gè)時(shí)間單位僅傳送一位信息; 每個(gè)字符(字節(jié))的各位依次傳送; 字符之間的間隔不定。優(yōu)點(diǎn): 傳輸線少,成本低,傳輸距離遠(yuǎn)697.4.1串行通信基本概念串行通信:681.串行通信工作方式單工通信只能由一方發(fā)送,例:廣播半雙工通信某一時(shí)刻只能由一方發(fā)送,例:對(duì)講機(jī)全雙工通信雙方可同時(shí)傳輸,例:電話同步通信雙方對(duì)每一位的收發(fā)時(shí)序完全一致,統(tǒng)一時(shí)鐘異步通信收發(fā)雙方時(shí)鐘不統(tǒng)一701.串行通信工作方式單工通信只能由一方發(fā)送,例:廣播69單工/雙工操作發(fā)送器接收器發(fā)送器/接收器發(fā)送器/接收器發(fā)送器/接收

32、器發(fā)送器/接收器單工方式:半雙工方式:全雙工方式:A站B站71單工/雙工操作發(fā)送器接收器發(fā)送器/接收器發(fā)送器/接收器發(fā)70電話網(wǎng)絡(luò)模擬信號(hào),計(jì)算機(jī)數(shù)字信號(hào)。遠(yuǎn)距離通信時(shí)需要通過(guò)普通電話網(wǎng)絡(luò)傳輸數(shù)字信號(hào):頻帶寬電話網(wǎng)絡(luò):頻帶窄 要使數(shù)字信號(hào)在電話網(wǎng)絡(luò)上傳輸,需要進(jìn)行信號(hào)變換把數(shù)字信號(hào)承載到模擬信號(hào)上傳輸,這個(gè)模擬信號(hào)稱為載波信號(hào)。調(diào)制把數(shù)字信號(hào)承載到載波信號(hào)上解調(diào)從載波信號(hào)中恢復(fù)出數(shù)字信號(hào)調(diào)制解調(diào)器:實(shí)現(xiàn)調(diào)制與解調(diào)的設(shè)備*2. 調(diào)制與解調(diào)72電話網(wǎng)絡(luò)模擬信號(hào),計(jì)算機(jī)數(shù)字信號(hào)。*2. 調(diào)制與解71三種調(diào)制方式根據(jù)載波 Acos(t + )的三個(gè)參數(shù):幅度、頻率、相位,產(chǎn)生常用的三種調(diào)制技術(shù):幅移鍵

33、控法 Amplitude-Shift Keying (ASK)頻移鍵控法 Frequency-Shift Keying (FSK)相移鍵控法 Phase-Shift Keying (PSK) ASK (又稱為調(diào)幅) 用載波信號(hào)的不同幅度代表1和0FSK (又稱為調(diào)頻) 用載波信號(hào)的不同頻率代表1和0PSK (又稱為調(diào)相) 用載波信號(hào)的相位變化代表1和0(有變化為1,無(wú)變化為0)73三種調(diào)制方式根據(jù)載波 Acos(t + )的三個(gè)參數(shù)72串行通信主要用于遠(yuǎn)距離數(shù)據(jù)傳輸。問(wèn)題:干擾、衰減,信號(hào)畸變解決方法:差錯(cuò)控制技術(shù)檢測(cè)、糾正常用的數(shù)據(jù)校驗(yàn)方法:奇偶校驗(yàn):以字符為單位進(jìn)行校驗(yàn)發(fā)送方使發(fā)送的每個(gè)字

34、節(jié)中1的個(gè)數(shù)為奇數(shù)或偶數(shù);接收方檢查收到的每個(gè)字節(jié)中1的個(gè)數(shù)是否符合雙方的事先約定。奇偶校驗(yàn)可以檢查出一個(gè)字節(jié)中發(fā)生的單個(gè)錯(cuò)誤。奇偶校驗(yàn)不能自動(dòng)糾錯(cuò),發(fā)現(xiàn)錯(cuò)誤后需“重傳”。3. 數(shù)據(jù)校驗(yàn)74串行通信主要用于遠(yuǎn)距離數(shù)據(jù)傳輸。3. 數(shù)據(jù)校驗(yàn)73循環(huán)冗余校驗(yàn)CRC (循環(huán)冗余碼/多項(xiàng)式編碼)以數(shù)據(jù)塊(幀, Frame)為單位進(jìn)行校驗(yàn)編碼思想:將數(shù)據(jù)塊構(gòu)成的位串看成是系數(shù)為0或1的多項(xiàng)式如110001,可表示成多項(xiàng)式 x5 + x4 + 1數(shù)據(jù)塊構(gòu)成的多項(xiàng)式除以另一個(gè)多項(xiàng)式G(x),得到的余數(shù)多項(xiàng)式R(x)就稱為CRC碼(或稱為校驗(yàn)和),而G(x)則稱為生成多項(xiàng)式。CRC校驗(yàn)的檢錯(cuò)方式:收發(fā)雙方約定一

35、個(gè)生成多項(xiàng)式G(x),發(fā)送方在幀的末尾加上校驗(yàn)和,使帶有校驗(yàn)和的幀的多項(xiàng)式能被G(x)整除;接收方收到后,用G(x)去除它,若余數(shù)為0,則傳輸正確,否則傳輸有錯(cuò)。數(shù)據(jù)校驗(yàn)75循環(huán)冗余校驗(yàn)CRC (循環(huán)冗余碼/多項(xiàng)式編碼)數(shù)據(jù)校驗(yàn)74CRC校驗(yàn)和計(jì)算方法若G(x)為r階,原幀為m位,其多項(xiàng)式為M(x),則在原幀后面添加r個(gè)0,幀成為m+r位,相應(yīng)多項(xiàng)式2rM(x) 按模2除法用2rM(x)除以G(x):商Q(x),余R(x)即 2rM(x) = G(x)Q(x)+R(x)按模2加法把2rM(x)與余數(shù)R(x)相加,結(jié)果就是要傳送的帶校驗(yàn)和的幀的多項(xiàng)式T(x) T(x) = 2rM(x) + R(

36、x)實(shí)際上,T(x) = 2rM(x) + R(x) = G(x)Q(x) + R(x) + R(x) = G(x)Q(x) (模2運(yùn)算) 所以,若接收的T(x)正確,則它肯定能被G(x)除盡。數(shù)據(jù)校驗(yàn)76CRC校驗(yàn)和計(jì)算方法數(shù)據(jù)校驗(yàn)75數(shù)據(jù)校驗(yàn)CRC校驗(yàn)碼的檢錯(cuò)能力:可檢出所有奇數(shù)個(gè)錯(cuò)可檢出所有單位/雙位錯(cuò)可檢出所有G(x)長(zhǎng)度的突發(fā)錯(cuò)常用的生成多項(xiàng)式:CRC12 = x12+x11+x3+x2+1CRC16 = x16+x15+x2+1CRC32 =x32+x26+x23+x22+x16+x11+x10 +x8+x7+x5+x4+x2+x+177數(shù)據(jù)校驗(yàn)CRC校驗(yàn)碼的檢錯(cuò)能力:764.同步

37、通信與異步通信所有串行通信都需要一個(gè)時(shí)鐘信號(hào)來(lái)作為數(shù)據(jù)的定時(shí)參考。發(fā)送器和接收器用時(shí)鐘來(lái)決定何時(shí)發(fā)送和讀取每一個(gè)數(shù)據(jù)位。根據(jù)傳輸時(shí)采用的是統(tǒng)一時(shí)鐘還是本地局部時(shí)鐘,分為同步傳輸和異步傳輸兩種。同步傳輸用一個(gè)時(shí)鐘脈沖確定一個(gè)數(shù)據(jù)位, 異步傳輸用多個(gè)時(shí)鐘脈沖確定一個(gè)數(shù)據(jù)位(如16個(gè))同步傳輸以數(shù)據(jù)塊(當(dāng)作“位流”看待)為單位傳輸,異步傳輸以字符為單位傳輸,但都稱為幀(Frame) 784.同步通信與異步通信所有串行通信都需要一個(gè)時(shí)鐘信號(hào)來(lái)作77同步通信的時(shí)鐘定時(shí)方法數(shù)據(jù)(62H)01100010同步傳輸先發(fā)送高位(MSB)發(fā)送方在時(shí)鐘信號(hào)的下降沿發(fā)送字節(jié)接收方在時(shí)鐘信號(hào)的上升沿接收字節(jié)時(shí)鐘(發(fā)送時(shí)

38、鐘與接收時(shí)鐘完全同步)LSBMSB79同步通信的時(shí)鐘定時(shí)方法數(shù)據(jù)(62H)01100010同步787.4.2 串行通信的接口標(biāo)準(zhǔn)機(jī)械特性:連接器的尺寸、引腳分布信號(hào)特性:信號(hào)電平、通信速率功能特性:引腳功能、控制時(shí)序 最常見的串行通信標(biāo)準(zhǔn)是RS-232C。807.4.2 串行通信的接口標(biāo)準(zhǔn)機(jī)械特性:連接器的尺寸、引79RS-232C標(biāo)準(zhǔn)外形為25針或9針的D型連接器通信速率:波特率Baud(符號(hào)數(shù)/s) 100、300、600、1200、2400、4800 9600、19.2K、33.6K、56K 信號(hào)電平:邏輯“1”:-3V-15V邏輯“0”:+3V+15VTTL電平與RS232電平轉(zhuǎn)換:T

39、TLRS232: MC1488RS232TTL: MC148981RS-232C標(biāo)準(zhǔn)外形為25針或9針的D型連接器80主要引腳的功能82主要引腳的功能81信號(hào)時(shí)序(接收)設(shè)備握手DTR:PCM(保持,表示PC已可以工作)DSR:PCM(保持,表示M已可以工作)監(jiān)視載波信號(hào)DCD:PCM載波(表示數(shù)據(jù)鏈已建立)接收數(shù)據(jù)RD: PCM數(shù)據(jù)調(diào)制信號(hào)結(jié)束通信DCD消失、PC撤除DTR、Modem撤除DSR83信號(hào)時(shí)序(接收)設(shè)備握手82信號(hào)時(shí)序(發(fā)送)設(shè)備握手DTR:PCM(保持)DSR:PCM(保持)請(qǐng)求發(fā)送RTS:PCM(保持),M載波,在對(duì)方產(chǎn)生DCDCTS:PCM(保持)發(fā)送數(shù)據(jù)TD:PCM數(shù)

40、據(jù)調(diào)制信號(hào)結(jié)束通信PC撤除RTS/DTRModem撤除CTS/DSR,停止發(fā)送載波84信號(hào)時(shí)序(發(fā)送)設(shè)備握手83RS-232C接口連接方式85RS-232C接口連接方式84RS-232C接口連接方式(續(xù))一種簡(jiǎn)化的連接方式(Null Modem)適用于雙機(jī)直連TDRDRTSCTSDCDGNDDTRDSRRITDRDRTSCTSDCDGNDDTRDSRRI86RS-232C接口連接方式(續(xù))一種簡(jiǎn)化的連接方式(Nu855.4.3 可編程串行通信接口8250主要內(nèi)容: 1)8250的引腳及功能 2)與系統(tǒng)的連接 3)內(nèi)部結(jié)構(gòu)與內(nèi)部寄存器 4)8250的編程875.4.3 可編程串行通信接口825

41、0主要內(nèi)容:861. 8250 的引腳及功能面向系統(tǒng)的引腳:D0D7 雙向數(shù)據(jù)線。與系統(tǒng)數(shù)據(jù)總線DB相連接,用以傳送數(shù)據(jù)、控制信息和狀態(tài)信息。CS0,CS1,CS2 片選信號(hào),當(dāng)它們同時(shí)有效時(shí),該8250芯片被選中。CSOUT 片選輸出信號(hào)。當(dāng)8250的CS0、CS1和CS2同時(shí)有效時(shí),CSOUT為高電平。MR 主復(fù)位信號(hào),復(fù)位后8250的狀態(tài)見P331表7-5。 881. 8250 的引腳及功能面向系統(tǒng)的引腳:A0A2 8250內(nèi)部寄存器的選擇信號(hào)。不同的編碼 對(duì)應(yīng)于不同的寄存器。ADS 地址選通信號(hào)。有效時(shí)可將CS0,CS1,CS2及A0-A2鎖存于8250內(nèi)部。不需要鎖存時(shí),ADS可直接

42、接地。DISTR 讀選通信號(hào)。通常與系統(tǒng)總線的IOR信號(hào)相連接。DOSTR 寫選通信號(hào)。通常與系統(tǒng)總線的IOW信號(hào)相連接。INTR 中斷請(qǐng)求信號(hào)。當(dāng)允許8250中斷時(shí),接收出錯(cuò)、接收數(shù)據(jù)寄存器滿、發(fā)送數(shù)據(jù)寄存器空以及MODEM的狀態(tài)均能夠產(chǎn)生有效的INTR信號(hào)。A0A2888250 的引腳及功能(續(xù))面向通信設(shè)備的引腳信號(hào)SIN, SOUT: 串行輸入/輸出端CTS, RTS, DTR, DSR:(同RS232標(biāo)準(zhǔn)中的信號(hào))RLSD: 即RS232C標(biāo)準(zhǔn)中的DCD信號(hào)RI:(同RS232標(biāo)準(zhǔn)中的信號(hào))OUT1, OUT2: 可由用戶編程確定其狀態(tài)的輸出端BAUDOUT: 波特率信號(hào)輸出(頻率=

43、fCLK/分頻值)XTAL1, XTAL2: 接外部晶振,作為基準(zhǔn)時(shí)鐘fCLKRCLK: 接收時(shí)鐘輸入(可直接與BAUDOUT相連)908250 的引腳及功能(續(xù))面向通信設(shè)備的引腳信號(hào)894.3914.3908250與8088系統(tǒng)的連接系統(tǒng)總線D7-D0DISTRDOSTRINTRMRA0A1A2ADSDISTRDOSTRCS2CS1CS0+5VCSD7-D0# IOR# IOWINTRRESETA0A1A2電平轉(zhuǎn)換/驅(qū)動(dòng)器14881489到RS232接口XTAL1XTAL2BAUDOUTRCLK SOUTSINRTSDTRDSRDCDCTSRI8250XTAL928250與8088系統(tǒng)的連

44、接系D7-D0+5VCSD7-912. 8250的內(nèi)部寄存器共10個(gè)可編程(尋址)的寄存器線路控制寄存器(LCR) BASE+3線路狀態(tài)寄存器(LSR) BASE+5 發(fā)送保持寄存器(THR) BASE+0(寫)接收緩沖寄存器(RBR) BASE+0(讀)除數(shù)鎖存器低8位(DLL)BASE+0(DL=1)除數(shù)鎖存器高8位(DLH)BASE+1(DL=1)中斷允許寄存器(IER) BASE+1中斷識(shí)別寄存器(IIR) BASE+2Modem控制寄存器(MCR)BASE+4Modem狀態(tài)寄存器(MSR)BASE+6932. 8250的內(nèi)部寄存器共10個(gè)可編程(尋址)的寄存器928250的內(nèi)部寄存器

45、(續(xù))除數(shù)鎖存器(DLL,DLH)BASE+0,1用來(lái)保存分頻系數(shù),以獲得所需的波特率。波特率可以簡(jiǎn)單地看成每秒傳送多少二進(jìn)制位PC機(jī)中基準(zhǔn)時(shí)鐘頻率fCLK=1.8432MHz,波特率因子K=16。所以,對(duì)于指定的波特率B 除數(shù)值=1843200/(B16)=115200/B例如,通信速率為9600波特時(shí),除數(shù)值=12。注意:寫除數(shù)前,必須把LCR的最高位(DL位)置1948250的內(nèi)部寄存器(續(xù))除數(shù)鎖存器(DLL,DLH)938250的內(nèi)部寄存器(續(xù))線路控制寄存器(LCR)BASE+3決定傳輸時(shí)的數(shù)據(jù)幀格式(通信雙方必須一致)D7 D6 D5 D4 D3 D2 D1 D0DL位:0正常操

46、作1寫除數(shù)寄存器0正常操作1SOUT強(qiáng)制為1 (Break符號(hào))xx0無(wú)校驗(yàn)001奇校驗(yàn)011偶校驗(yàn)101恒為1111恒為001位停止位12位停止位107位數(shù)據(jù)位118位數(shù)據(jù)位958250的內(nèi)部寄存器(續(xù))線路控制寄存器(LCR)BA948250的內(nèi)部寄存器(續(xù))線路狀態(tài)寄存器(LSR)BASE+5反映傳輸時(shí)的通信線狀態(tài) 0 D6 D5 D4 D3 D2 D1 D0發(fā)送移位寄存器空發(fā)送保持寄存器空檢測(cè)到Break接收緩沖寄存器滿溢出錯(cuò)奇偶錯(cuò)格式錯(cuò)(停止位個(gè)數(shù)不符)968250的內(nèi)部寄存器(續(xù))線路狀態(tài)寄存器(LSR)BA958250的內(nèi)部寄存器(續(xù))發(fā)送保持寄存器(THR)BASE+0要發(fā)送的

47、數(shù)據(jù)寫入此寄存器。當(dāng)發(fā)送移位寄存器TSR空時(shí),THR中的內(nèi)容移入TSR被發(fā)送出去。只有THR空時(shí),CPU才能寫入下一個(gè)要發(fā)送的數(shù)據(jù)接收緩沖寄存器(RBR)BASE+0RSR收到一個(gè)完整的數(shù)據(jù)后,就將其送入RBR中。CPU可從RBR中讀取收到的數(shù)據(jù)。RBR只能緩沖一個(gè)數(shù)據(jù),當(dāng)CPU未能及時(shí)取走上一個(gè)數(shù)據(jù),下一個(gè)數(shù)據(jù)又送入RBR時(shí),會(huì)產(chǎn)生溢出錯(cuò)978250的內(nèi)部寄存器(續(xù))發(fā)送保持寄存器(THR)B968250的內(nèi)部寄存器(續(xù))中斷允許寄存器(IER)BASE+1決定哪類中斷可以產(chǎn)生(也可禁止所有中斷產(chǎn)生) 0 0 0 0 D3 D2 D1 D01允許RBR滿中斷1允許THR空中斷1允許線路狀態(tài)中

48、斷 (溢出錯(cuò)、奇偶錯(cuò)、格式錯(cuò)、Break)1允許Modem狀態(tài)中斷988250的內(nèi)部寄存器(續(xù))中斷允許寄存器(IER)B978250的內(nèi)部寄存器(續(xù))中斷識(shí)別寄存器(IIR)BASE+2用于識(shí)別產(chǎn)生中斷的原因 0 0 0 0 0 D2 D1 D00無(wú)中斷1有中斷00Modem狀態(tài)中斷(優(yōu)先級(jí)最低)01THR空中斷10RBR滿中斷11線路狀態(tài)中斷998250的內(nèi)部寄存器(續(xù))中斷識(shí)別寄存器(IIR)B988250的內(nèi)部寄存器(續(xù))Modem控制寄存器(MCR)BASE+4產(chǎn)生RTS、DTR信號(hào)產(chǎn)生OUT1、OUT2信號(hào)設(shè)置循環(huán)自檢狀態(tài) 0 0 0 D4 D3 D2 D1 D0DTRRTSOUT1OUT2LOOP1008250的內(nèi)部寄存器(續(xù))Modem控制寄存器(MCR998250的內(nèi)部寄存器(續(xù))Modem狀態(tài)寄存器(MSR)BASE+6反映RS232接口的狀態(tài)CTS

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論