計(jì)算機(jī)考研組成原理知識點(diǎn)講解_第1頁
計(jì)算機(jī)考研組成原理知識點(diǎn)講解_第2頁
計(jì)算機(jī)考研組成原理知識點(diǎn)講解_第3頁
計(jì)算機(jī)考研組成原理知識點(diǎn)講解_第4頁
計(jì)算機(jī)考研組成原理知識點(diǎn)講解_第5頁
已閱讀5頁,還剩133頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、文檔編碼 : CR6L6R4Q8S1 HI7H10V3Y7T5 ZJ2T8O8I5B7運(yùn)算機(jī)考研組成原理學(xué)問點(diǎn)講解 重點(diǎn)學(xué)問和概念精講 第一章 運(yùn)算機(jī)系統(tǒng)概述 復(fù)習(xí)要點(diǎn): 明白運(yùn)算機(jī)進(jìn)展歷程; 明白運(yùn)算機(jī)系統(tǒng)層次結(jié)構(gòu)及其相關(guān)的硬件基本組成,軟件分類和工作過程; 明白運(yùn)算機(jī)性能指標(biāo)及有關(guān)的運(yùn)算與術(shù)語說明 簡潔說明 在教材內(nèi)容挑選和教學(xué)過程中, 運(yùn)算機(jī)系統(tǒng)概述是作為課程緒論性質(zhì)的內(nèi)容來對待 的, 重點(diǎn)不是要求同學(xué)把握更深化的具體學(xué)問,而強(qiáng)調(diào)盡早地從層次的觀點(diǎn)明白運(yùn)算機(jī) 系統(tǒng)硬件, 軟件的完整組成, 熟識硬軟件之間的相互依存和同一功能既能用硬件也可用 軟件來實(shí)現(xiàn)的等效關(guān)系; 明白組成運(yùn)算機(jī)硬件系統(tǒng)的

2、 5 個(gè)功能部件及其通過 3 種類型的 總線實(shí)現(xiàn)相互之間的連接關(guān)系, 明白運(yùn)算機(jī)系統(tǒng)主要的技術(shù)指標(biāo),明白組成軟件系統(tǒng)的 操作系統(tǒng), 3 個(gè)級別語言的特點(diǎn)及其運(yùn)行過程,明白運(yùn)算機(jī)的進(jìn)展歷程和多種分類情形 等; 在預(yù)備考試的過程中,應(yīng)當(dāng)把教材各章中的內(nèi)容融會貫穿,懂得為好,不宜死記硬 背,例如本章中的技術(shù)與性能指標(biāo)就應(yīng)與后續(xù)各部分的更具體指標(biāo)建立聯(lián)系, 懂得精確; 這一部分內(nèi)容的試題將以挑選,判定和填空為主,不存在答題技巧等方面的問題; 1.1 運(yùn)算機(jī)的產(chǎn)生,進(jìn)展與應(yīng)用 1. 硬件的進(jìn)展 自從 1946 年產(chǎn)生人類第一臺數(shù)字電子運(yùn)算機(jī) ENIAC 以來,即從 1946 年直至現(xiàn)在, 構(gòu)成運(yùn)算機(jī)硬件

3、的主要器件從電子管進(jìn)展成晶體管,中小規(guī)模集成電路,大規(guī)模集成電 路直至超大規(guī)模集成電路, 使運(yùn)算機(jī)從第一代進(jìn)展到四代,直至現(xiàn)在正研制的新一代計(jì) 算機(jī),它的速度從 40 000 次 /秒進(jìn)展到 100 000 000 次 /秒; 微處理機(jī)的字長從 4 位的 4004 進(jìn)展到 8 位的 8080 直至目前 64 位的 Pentium ; 依據(jù)摩爾定律集成電路容量大體上每 2. 軟件的進(jìn)展 18 個(gè)月翻一番,可能今后的 10 年仍舊會如此; 運(yùn)算機(jī)系統(tǒng)的進(jìn)展與軟件技術(shù)的興起和進(jìn)展也是親熱相關(guān)的; 運(yùn)算機(jī)語言的進(jìn)展歷 經(jīng)了面對機(jī)器的機(jī)器語言和匯編語言, 面對問題的高級語言;高級語言的進(jìn)展也從科學(xué) 運(yùn)算

4、和工程運(yùn)算的 FORTRAN ,結(jié)構(gòu)化程序設(shè)計(jì) PASCAL 到面對對象的 C語言和適 應(yīng)網(wǎng)絡(luò)環(huán)境的 Java 語言;與此同時(shí),直接影響運(yùn)算機(jī)系統(tǒng)性能提升的各種系統(tǒng)軟件, 也有了長足的進(jìn)展,特別是微機(jī)的操作系統(tǒng),從 統(tǒng); 3. 運(yùn)算機(jī)的應(yīng)用 DOS 進(jìn)展到目前的窗口與網(wǎng)絡(luò)操作系 運(yùn)算機(jī)系統(tǒng)的進(jìn)展實(shí)際上與運(yùn)算機(jī)的應(yīng)用是相互促進(jìn)的, 運(yùn)算機(jī)的應(yīng)用從早期的科 學(xué)運(yùn)算, 數(shù)據(jù)處理到工業(yè)把握, 實(shí)時(shí)把握, 直至目前的網(wǎng)絡(luò)技術(shù) (電子商務(wù), 網(wǎng)絡(luò)訓(xùn)練), 和多媒體技術(shù)與人工智能方面等等諸多的應(yīng)用; 隨著社會需求和微電子技術(shù)的不斷進(jìn)展,運(yùn)算機(jī)的系統(tǒng)結(jié)構(gòu)仍在連續(xù)進(jìn)展,其進(jìn)展 第 1 頁,共 76 頁趨勢是:從

5、體積上向巨型化和微小型化進(jìn)展;從處理與應(yīng)用上向并行處理,網(wǎng)絡(luò)化,多 媒體,智能化等方向進(jìn)展;運(yùn)算機(jī)的體系結(jié)構(gòu)等方面可能有進(jìn)展或重大突破; 1.2 運(yùn)算機(jī)的分類 按信號類型分為模擬運(yùn)算機(jī)和數(shù)字運(yùn)算機(jī); 按規(guī)模分為:嵌入式,單片機(jī),微型機(jī),小型機(jī),中型機(jī),大型機(jī)和巨型機(jī); 按進(jìn)展歷程分為(世界上第一臺運(yùn)算機(jī)產(chǎn)生的時(shí)間 1946 年和名稱 ENIAC ): 電子管,晶體管,集成電路,大規(guī)模,超大規(guī)模集成電路 4 代運(yùn)算機(jī); 按指令和數(shù)據(jù)流分為: 1單指令流,單數(shù)據(jù)流系統(tǒng) SISD(傳統(tǒng)馮諾依曼體系結(jié)構(gòu)) , 2單指令流,多數(shù)據(jù)流系統(tǒng) SIMD (陣列處理機(jī)和向量處理機(jī)系統(tǒng)) , 3多指令流,單數(shù)據(jù)流

6、系統(tǒng) MISI (?), 4多指令流,多數(shù)據(jù)流系統(tǒng) MIMD (多處理機(jī)和多運(yùn)算機(jī)系統(tǒng)) ; 1.3 運(yùn)算機(jī)系統(tǒng)的層次結(jié)構(gòu) 運(yùn)算機(jī)硬件的基本組成 1. 馮諾依曼體系結(jié)構(gòu)運(yùn)算機(jī), 儲備程序的運(yùn)算機(jī),其特點(diǎn)是: 使用電子電路實(shí)現(xiàn)運(yùn)算機(jī)的主要功能,完成高速,自動(dòng)的運(yùn)行過程, 使用二進(jìn)制代碼,既表示數(shù)據(jù)又表示指令, 運(yùn)行的程序和數(shù)據(jù)儲存在儲 備器中,按地址次序存放,通過地址完成讀寫 在早期是以運(yùn)算器為中心來組織的, 指令串行執(zhí)行,是典型的單指令流單數(shù)據(jù)流的系統(tǒng); 2. 硬件組成的 5 個(gè)功能部件: 運(yùn)算機(jī)硬件的 5 個(gè)功能部件奠定了至今仍在沿用的運(yùn)算機(jī)的基本結(jié)構(gòu), 但有了很多 改進(jìn)和完善; 1 運(yùn)算器

7、:完成數(shù)據(jù)的暫存,變換,算術(shù)和規(guī)律運(yùn)算功能, 2 把握器:完成對運(yùn)算機(jī)各部件協(xié)同運(yùn)行的指揮把握,即保證指令按預(yù)定的次序 執(zhí)行,保證每一條指令按規(guī)定的執(zhí)行步驟正確執(zhí)行,仍要處理各項(xiàng)緊急大事; 3 儲備器:主存和輔存,主儲備備正在運(yùn)行的程序和相關(guān)數(shù)據(jù),輔儲備存程序和 數(shù)據(jù)文檔; 4 輸入設(shè)備:用于向運(yùn)算機(jī)送入用戶操作命令,程序和數(shù)據(jù); 5 輸出設(shè)備:用于送出運(yùn)算機(jī)的運(yùn)行結(jié)果等內(nèi)容, 運(yùn)算機(jī)的 5 個(gè)功能部件通過數(shù)據(jù)總線,地址總線,把握總線彼此連接在一起,實(shí)現(xiàn) 信息通訊, 3 種總線分別用于傳送數(shù)據(jù) 主存和入出設(shè)備等的操作與把握信息; 1.4 運(yùn)算機(jī)軟件的分類 1. 分類 ( 1) 系統(tǒng)軟件 (指令

8、)信息,主存和入出設(shè)備接口的地址信息, 用于治理整個(gè)運(yùn)算機(jī)系統(tǒng)語言處理程序:有操作系統(tǒng);服務(wù)性程序;數(shù)據(jù)庫治理系 統(tǒng);網(wǎng)絡(luò)軟件; (2 )應(yīng)用軟件:指按任務(wù)需要編制成的各種程序; 2. 三個(gè)級別的語言 第 2 頁,共 76 頁(1 )機(jī)器語言 機(jī)器語言指的是一臺運(yùn)算機(jī)的指令系統(tǒng),它可以被用來編寫程序,構(gòu)成編寫程序的 一種語言,又被稱為二進(jìn)制代碼語言; (2 )匯編語言 匯編語言大體上是對運(yùn)算機(jī)機(jī)器語言的符號化處理的結(jié)果, 再增加一些為便利程序 設(shè)計(jì)而實(shí)現(xiàn)的擴(kuò)展功能; (3 )高級語言 高級語言又稱算法語言 ( algorithm language),它的實(shí)現(xiàn)思路, 不再是過分地 “靠攏” 運(yùn)算

9、機(jī)硬件的指令系統(tǒng),而是著重面對解決實(shí)際問題所用的算法; 1.5 運(yùn)算機(jī)系統(tǒng)的層次結(jié)構(gòu) 完整的運(yùn)算機(jī)系統(tǒng)由硬件( hardware)和軟件( software)兩類資源組成; 運(yùn)算機(jī)的硬件系統(tǒng)是運(yùn)算機(jī)系統(tǒng)中的物理設(shè)備,是一種高度復(fù)雜的,由多種電子線 路,精密機(jī)械裝置等構(gòu)成的,能自動(dòng)并且高速地完成數(shù)據(jù)運(yùn)算的裝置或者工具;運(yùn)算機(jī) 的軟件系統(tǒng)是運(yùn)算機(jī)系統(tǒng)中的程序和相關(guān)數(shù)據(jù),包括完成運(yùn)算機(jī)資源治理, 便利用戶使 用的系統(tǒng)軟件(廠家供應(yīng)) ,和完成用戶對數(shù)據(jù)的預(yù)期處理功能的應(yīng)用軟件(廠家供應(yīng)) 與用戶軟件(用戶設(shè)計(jì)并自己使用)兩大部分;運(yùn)算機(jī)的硬,軟件,二者相互依存,分 工互動(dòng),缺一不行;硬件是運(yùn)算機(jī)系統(tǒng)

10、中儲存與運(yùn)行軟件程序的物質(zhì)基礎(chǔ),軟件就是指 揮硬件完成預(yù)期功能的智力部分,正如一個(gè)健全和健康的人一樣,必需同時(shí)具備物質(zhì)性 的肉體和精神性的智力與思維; 運(yùn)算機(jī)硬件和軟件系統(tǒng)的組成關(guān)系可以從如圖 識;圖中最下面的 2 層屬于硬件部分,最上面的 1.1 所示的六個(gè)層次來進(jìn)一步深化認(rèn) 3 層屬于軟件部分,而中間的指令系統(tǒng) 層連接了硬件和軟件兩部分,與兩部分都有親熱關(guān)系; 構(gòu)成運(yùn)算機(jī)系統(tǒng)的最底層即第 0 層,是數(shù)字規(guī)律層;這說明實(shí)現(xiàn)運(yùn)算機(jī)硬件的最重 要的物質(zhì)材料是電子線路, 是能夠直接處理離散的數(shù)字信號的數(shù)字規(guī)律電路;數(shù)字電路 和規(guī)律設(shè)計(jì)是學(xué)習(xí)運(yùn)算機(jī)組成原理時(shí)要用到的預(yù)備性學(xué)問; 第 1 層是微體系結(jié)

11、構(gòu)( micro architecture)層,也可以稱其為運(yùn)算機(jī)裸機(jī);運(yùn)算機(jī) 的核心功能是執(zhí)行程序, 程序是按確定規(guī)章和次序組織起來的指令序列;這一層表達(dá)的 是,為了執(zhí)行指令,需要在運(yùn)算機(jī)中設(shè)置哪些功能部 件 例如:儲備,運(yùn)算,輸入和輸出,接口和總線等部 件,當(dāng)然仍有更復(fù)雜一點(diǎn)的是把握器部件 ,每個(gè)部件 又如何具體組成和怎樣運(yùn)行,這些部件如何實(shí)現(xiàn)相互 連接并協(xié)同工作等方面的學(xué)問和技術(shù);通常,運(yùn)算機(jī) 硬件系統(tǒng)由運(yùn)算器部件(數(shù)據(jù)通路) ,把握器部件,存 儲器部件,輸入設(shè)備,輸出設(shè)備這 5 部分組成; 第 2 層是指令系統(tǒng) ( instruction set)層,它介于硬 件和軟件之間;這涉及到需

12、要確定使用哪些指令,指 令能夠處理的數(shù)據(jù)類型和對其運(yùn)算所用的算法,每一 條指令的格式和完成的功能,如何指出想要對其執(zhí)行 讀操作或者寫操作的儲備器的一個(gè)儲備單元,如何指 出想要執(zhí)行輸入或者輸出操作的一個(gè)外圍設(shè)備,對哪 一個(gè)或二個(gè)數(shù)據(jù)進(jìn)行運(yùn)算,執(zhí)行哪一種運(yùn)算,如何保 存運(yùn)算結(jié)果等;指令系統(tǒng)是運(yùn)算機(jī)硬件系統(tǒng)設(shè)計(jì),實(shí) 現(xiàn)的最基本和最重要的依據(jù),與運(yùn)算機(jī)硬件實(shí)現(xiàn)的復(fù) 5:高級語言層 4:匯編語言層 3:操作系統(tǒng)層 2:指令系統(tǒng)層 1:微體系結(jié)構(gòu) 層 0:數(shù)字規(guī)律層 圖 1.1 運(yùn)算機(jī)系統(tǒng)層次結(jié)構(gòu) 第 3 頁,共 76 頁雜程度,設(shè)計(jì)程序的難易程度,程序占用硬件資源的多少,程序運(yùn)行的效率等都直接相 關(guān);運(yùn)

13、算機(jī)是由人指揮把握的,供人來使用的; 第 3 層是操作系統(tǒng)( operating system)層;它主要分擔(dān)運(yùn)算機(jī)系統(tǒng)中的資源治理與 支配,也向使用者和程序設(shè)計(jì)人員供應(yīng)簡潔,便利,高效的服務(wù);一套運(yùn)算機(jī)系統(tǒng),包 含了大量的,高價(jià)的,治理和使用相當(dāng)復(fù)雜的硬件資源和軟件資源,不僅一般水平的使 用人員, 就是水平很高的專業(yè)人員都難以直接把握和操作,仍是把資源治理和調(diào)度功能 留給運(yùn)算機(jī)系統(tǒng)本身來完成更牢靠,這些功能是由操作系統(tǒng)承擔(dān)的;操作系統(tǒng)的存在, 又為使用運(yùn)算機(jī)的用戶供應(yīng)了很多支持, 與程序設(shè)計(jì)語言相結(jié)合, 使得程序設(shè)計(jì)更簡化, 建立用戶的應(yīng)用程序和操作運(yùn)算機(jī)更便利; 第 4 層是匯編語言( a

14、ssembly language)層;匯編語言大體上是對運(yùn)算機(jī)機(jī)器語言 的符號化處理的結(jié)果, 再增加一些為便利程序設(shè)計(jì)而實(shí)現(xiàn)的擴(kuò)展功能; 與機(jī)器語言相比, 匯編語言至少有 2 大優(yōu)點(diǎn);第一實(shí)現(xiàn)用英文單詞或其縮寫形式替代二進(jìn)制的指令代碼, 更簡潔為人們記憶和懂得; 其次是可以選用含義明確的英文單詞來表示程序中用到的數(shù) 據(jù)(常量和變量) ,并且防止程序設(shè)計(jì)人員親自花費(fèi)精力為這些數(shù)據(jù)支配儲備單元;如 果在此基礎(chǔ)上,仍可以在支持程序的不同結(jié)構(gòu)特性(如循環(huán)和重復(fù)執(zhí)行等結(jié)構(gòu)) ,子程 序所用形式參數(shù)替換為真實(shí)參數(shù)等方面供應(yīng)必要的支持; 匯編語言的程序必需經(jīng)過一個(gè) 叫做匯編程序的系統(tǒng)軟件的翻譯, 將其轉(zhuǎn)換為

15、運(yùn)算機(jī)的機(jī)器語言后,才能在運(yùn)算機(jī)的硬 件系統(tǒng)上予以執(zhí)行; 第 5層是高級語言層, 高級語言又稱算法語言 (algorithmlanguage),它的實(shí)現(xiàn)思路, 不再是過分地 “靠攏” 運(yùn)算機(jī)硬件的指令系統(tǒng), 而是著重面對解決實(shí)際問題所用的算法, 更多的是為便利程序設(shè)計(jì)人員寫出自己解決問題的處理方案和解題過程的程序; 目前常 用的高級語言有 BASIC ,C,C+,PASCAL ,JAVA, PROLOG 等多種;用這些語言設(shè) 計(jì)出來的程序, 通常需要經(jīng)過一個(gè)叫做編譯程序的軟件編譯成機(jī)器語言程序,或者第一 編譯成匯編程序后, 再經(jīng)過匯編操作后得到機(jī)器語言程序,才能在運(yùn)算機(jī)的硬件系統(tǒng)上 予以執(zhí)行;

16、 也可以由一個(gè)叫做說明執(zhí)行程序的軟件,逐條取來相應(yīng)高級語言程序的每個(gè) 語句并直接把握其完成執(zhí)行過程, 而不是把整個(gè)程序編譯為機(jī)器語言程序之后再交給硬 件系統(tǒng)加以執(zhí)行, 說明執(zhí)行程序的最大缺點(diǎn)是運(yùn)行效率比很低; 高級語言不屬于運(yùn)算機(jī) 組成課程的內(nèi)容; 在高級語言層之上,仍可以有應(yīng)用層,由解決實(shí)際問題的處理程序組成,例如文字 處理軟件,數(shù)據(jù)庫軟件,網(wǎng)絡(luò)軟件,多媒體信息處理軟件,辦公自動(dòng)化軟件等;運(yùn)算機(jī) 是用于解決各種應(yīng)用問題的系統(tǒng),為有應(yīng)用而存在,為處理各種應(yīng)用問題而表達(dá)出它的 性能和價(jià)值; 上述可知,運(yùn)算機(jī)系統(tǒng)的 6 層結(jié)構(gòu)在不同層次之間的關(guān)系表現(xiàn)為: ( 1)上面的一層是建立在下一層的基礎(chǔ)上實(shí)

17、現(xiàn)出來的,實(shí)現(xiàn)的功能更強(qiáng)大;也就 是說, 上一層較下一層更接近人們解決問題的思維方式和處理問題的具體過程,更便于 使用,而且使用這一層供應(yīng)的功能時(shí),不必關(guān)懷下一層的實(shí)現(xiàn)細(xì)節(jié); ( 2)下面一層是實(shí)現(xiàn)上一層的基礎(chǔ),更接近運(yùn)算機(jī)硬件實(shí)現(xiàn)的細(xì)節(jié),實(shí)現(xiàn)的功能 相對簡潔,人們使用這些功能更感到困難;在實(shí)現(xiàn)這一層的功能時(shí),可能尚無法明白其 上一層的最終目標(biāo)和將要解決的問題,也不必懂得其更下一層實(shí)現(xiàn)中的有關(guān)細(xì)節(jié)問題, 只要使用下一層所供應(yīng)出來的功能來完成本層次的功能處理即可; (3)接受這種分層次的方法來分析和解決某些問題,有利于簡化處理問題的難度, 在某一段時(shí)間, 在處理某一層中的問題時(shí),只需集中精力解決

18、當(dāng)前最需要關(guān)懷的核心問 題即可,而不必牽扯各上下層中的其他問題;例如,在用高級語言設(shè)計(jì)程序時(shí),無需深 入明白匯編及其各低層內(nèi)容; 第 4 頁,共 76 頁在大部分的教材中,人們通常把沒有配備軟件的純硬件系統(tǒng)成為“裸機(jī)” ,這是計(jì) 算機(jī)系統(tǒng)的根基或稱“內(nèi)核” ,它的設(shè)計(jì)目標(biāo)更多地集中到有利于供應(yīng)性能又便利硬件 實(shí)現(xiàn)和降低成本,因此供應(yīng)的功能相對較弱,只能執(zhí)行由機(jī)器語言構(gòu)成的程序,特別難 以使用;為此,人們期望能開發(fā)出功能更強(qiáng),更接近人的思維方式和使用習(xí)慣的語言, 這是通過在裸機(jī)上配備適當(dāng)?shù)能浖硗瓿傻模?每加一層軟件就構(gòu)成一個(gè)新的 “虛擬運(yùn)算 機(jī)”,功能更強(qiáng)大,使用也更加便利;例如,可以把運(yùn)算機(jī)

19、系統(tǒng)的一到五層分別稱為 L0 裸機(jī), L1 虛擬機(jī) 支持機(jī)器語言 , L2 虛擬機(jī) 增加了操作系統(tǒng) , L3 虛擬機(jī) 增加了匯編 語言 , L4 虛擬機(jī) 增加了高級語言 ; 1.6 運(yùn)算機(jī)的工作過程 使用運(yùn)算機(jī)處理一個(gè)實(shí)際問題的過程 (1)建立數(shù)學(xué)模型,找出反映待處理問題規(guī)律的數(shù)學(xué)模型 (2)找出運(yùn)算方法,挑選實(shí)現(xiàn)模型運(yùn)算的具體算法 (3)編寫運(yùn)算機(jī)程序,選用合適的運(yùn)算機(jī)語言編寫實(shí)現(xiàn)算法的程序 (4)調(diào)試運(yùn)行程序,調(diào)試寫好的程序,調(diào)試正確后就運(yùn)行這個(gè)程序 (5)輸出運(yùn)算結(jié)果,把程序的運(yùn)行結(jié)果輸出出來 運(yùn)算機(jī)硬件的工作過程 假如僅從得到運(yùn)算機(jī)機(jī)器語言的程序之后看 (1 )把程序和數(shù)據(jù)裝入到主儲備

20、器中 (2 )從程序的起始地址運(yùn)行程序; (3 )用程序首地址從儲備器中取出第 1 條指令,經(jīng)過譯碼,執(zhí)行步驟等把握運(yùn)算 機(jī)各功能部件協(xié)同運(yùn)行,完成這條指令功能,并運(yùn)算出下一條指令的地址; (4 )用新得到的指令地址連續(xù)讀出第 2 條指令并執(zhí)行之,直到程序終止為止;每 一條指令都是在取指,譯碼和執(zhí)行的循環(huán)過程中完成的; 1.7 運(yùn)算機(jī)系統(tǒng)性能指標(biāo) 這里只是從整機(jī)的角度,給出運(yùn)算機(jī)系統(tǒng)的某些技術(shù)與性能指標(biāo),突出幾個(gè)重要概 念和基本術(shù)語,各個(gè)部件的更具體的指標(biāo)支配到后續(xù)的章節(jié)去介紹; 1 運(yùn)算機(jī)字長 從物理上簡潔實(shí)現(xiàn)和數(shù)據(jù)運(yùn)算規(guī)章簡潔考慮,現(xiàn)代的運(yùn)算機(jī)普遍使用二進(jìn)制,即每 一位(一個(gè) bit)上的

21、數(shù)值只有 0 和 1 兩個(gè)值,相鄰數(shù)位之間接受“逢二進(jìn)一”的規(guī)章 處理,用從右到左依次排列起來的一串二進(jìn)制的數(shù)表示不同的數(shù)值和信息; 在運(yùn)算機(jī)系統(tǒng)內(nèi)部, 通常選用多少個(gè)二進(jìn)制位來表示一個(gè)數(shù)據(jù)或一條指令是一個(gè)關(guān) 鍵技術(shù)指標(biāo),例如 16, 32 或者 64 位,這個(gè)位數(shù)被稱為運(yùn)算機(jī)字長;通常是 2,4, 8 個(gè) Byte(Byte,由 8 個(gè)二進(jìn)制位組成) ; 2 CPU 速度 衡量 CPU 速度,通常有兩種方式; 第一種方式使用 CPU 主頻,即 CPU 系統(tǒng)使用的時(shí)鐘脈沖的頻率(每一秒鐘供應(yīng)的 時(shí)鐘脈沖的個(gè)數(shù)稱為赫茲 Hz,106Hz:MHz ,109Hz:GHz)來表示,例如 500MHz

22、;對 同一個(gè)型號的運(yùn)算機(jī),其主頻越高,完成指令的一個(gè)執(zhí)行步驟所用的時(shí)間越短,執(zhí)行指 令的速度越快,但對不同廠家,不同系列的運(yùn)算機(jī)系統(tǒng),只用 速度就未必精確; CPU 主頻來說明其運(yùn)行 第 5 頁,共 76 頁其次種方式使用 CPU每一秒鐘能執(zhí)行的指令條數(shù), 單位是 MIPS( millioninstructionspersecond),其運(yùn)算公式可以通過如下方式推導(dǎo)出來: T=CPI T_IC I 這里的 T 是執(zhí)行一個(gè)程序占用的全部時(shí)間, CPI 是執(zhí)行一條指令平均使用的 CPU 時(shí)鐘個(gè)數(shù), T_IC 是一個(gè) CPU 時(shí)鐘的時(shí)間長度,是 CPU 主頻 f 的倒數(shù) 1/f,I 是這個(gè)程序 的指

23、令條數(shù), 3 個(gè)數(shù)值的乘積就等于這個(gè)程序總的運(yùn)行時(shí)間 I= T / (CPI 1/f ) =( Tf )/CPI , T;由此得到: 這個(gè)公式說明,單位時(shí)間內(nèi)執(zhí)行的指令條數(shù)正比于 CPU 的時(shí)鐘頻率 f ,這個(gè)頻率的 高低取決于運(yùn)算機(jī)的實(shí)現(xiàn)技術(shù), 生產(chǎn)工藝和運(yùn)算機(jī)組成; 反比于每條指令的執(zhí)行步驟數(shù) 目,它反映運(yùn)算機(jī)的實(shí)現(xiàn)技術(shù),運(yùn)算機(jī)指令系統(tǒng)的結(jié)構(gòu)和運(yùn)算機(jī)組成;一個(gè)程序的指令 條數(shù)仍與運(yùn)算機(jī)指令系統(tǒng)的結(jié)構(gòu)和編譯技術(shù)有關(guān)系; 當(dāng)取 T=1 秒,并假定 f 為 300Mhz ,CPI 為 4,就運(yùn)算出該 CPU 系統(tǒng)的性能為 300/ 4, 等于 75 個(gè) MIPS,即每秒執(zhí)行 75 個(gè)百萬條指令;

24、如有方法使這臺運(yùn)算機(jī)的 CPI 靠近 1, 就其運(yùn)行性能就可以提高近 4 倍,這正是精簡指令系統(tǒng)運(yùn)算機(jī)( RISC)所追求的目標(biāo); 如進(jìn)一步細(xì)化,可以寫出運(yùn)算 CPI 的公式, CPI= CPIj I j/I ( j的值由 1到 n), 這里的 I 是程序的總指令條數(shù), n 是指令的種類, CPIi 是每類指令的執(zhí)行步驟數(shù), I j /I 表示在程序中這類指令數(shù)目與總指令數(shù)目的比例; 這種通過引入不同指令在程序中顯現(xiàn) 的頻率來運(yùn)算加權(quán) CPI 的方法,更能反應(yīng)運(yùn)算機(jī)系統(tǒng)運(yùn)行的真實(shí)性能; 由于在運(yùn)算機(jī)中用于運(yùn)算整數(shù)的指令和運(yùn)算機(jī)浮點(diǎn)數(shù)(實(shí)數(shù))的指令執(zhí)行速度差異 較大,不同程序中這兩類指令所占的比

25、例也有很大不同,為此人們?nèi)苑謩e用 MIPS, MFLOPS 描述整數(shù)指令和浮點(diǎn)數(shù)指令的執(zhí)行速度,以便對比不同運(yùn)算機(jī)系統(tǒng)的 CPU 性 能水平; 3 儲備容量 運(yùn)算機(jī)中的儲備器通常包括內(nèi)儲備器和外儲備器兩大類, 內(nèi)儲備器又被稱為主儲備 器,通常用半導(dǎo)體器件實(shí)現(xiàn),讀寫速度快,價(jià)格較高,通常容量要小一些,可供 CPU 通過指令直接拜望;外儲備器又稱幫忙儲備器,海量儲備器等,主要包括磁盤設(shè)備,光 盤設(shè)備,磁帶設(shè)備等,通常是在機(jī)械旋轉(zhuǎn)或移動(dòng)的盤片,磁帶上設(shè)置一層記錄信息的物 質(zhì),用磁化,轉(zhuǎn)變反射光強(qiáng)度的方式寫入或讀出二進(jìn)制的信息,讀寫速度要慢得多,容 量很大;儲備器的容量大,就可以儲存更多的信息,運(yùn)算機(jī)

26、運(yùn)行的速度就可以更快,相 應(yīng)的硬件成本也就更高; 4 內(nèi)存的存取周期和外存的數(shù)據(jù)傳送速率 內(nèi)儲備器的讀寫周期是指啟動(dòng)連續(xù)的兩次讀寫操作所必需的時(shí)間間隔, 通常都比較 短,當(dāng)前的內(nèi)儲備器存取周期為幾個(gè)納秒到十幾個(gè)納秒( ns),讀寫速度快的儲備器價(jià) 格要貴一些,要合理選用;外儲備器會涉及到機(jī)械運(yùn)動(dòng),找到要讀寫數(shù)據(jù)在硬磁盤中的 位置通常需要幾個(gè)到十幾個(gè)毫秒 ( ms),一次讀出幾百個(gè)字節(jié)的信息通常需要如干毫秒,而連續(xù)讀出一批數(shù)據(jù)平均到每個(gè)信息上的讀出時(shí)間可以更短; 磁盤設(shè)備讀寫的數(shù)據(jù)數(shù)量稱為設(shè)備的傳輸速率; 5 輸入輸出設(shè)備的入出速度 運(yùn)算機(jī)的輸入輸出設(shè)備是運(yùn)算機(jī)系統(tǒng)中比較復(fù)雜的部分, 通常把單位

27、時(shí)間內(nèi)可以對 其組成和運(yùn)行原理各不相 同,與運(yùn)算機(jī)主機(jī)的連接與把握方式也多有差異,在電子線路之外仍涉及到精密機(jī)械, 光學(xué),激光,電磁轉(zhuǎn)換等很多學(xué)問;不同的輸入輸出設(shè)備的運(yùn)行速度各不相同,例如針 式打印機(jī)每秒只能打印幾個(gè)字符,而激光打印機(jī)就可以打印多行甚至幾頁打印紙的信 息,鍵盤輸入就主要取決于人員打字的速度;顯示器的屏幕大小,辨論率高低,顯示字 第 6 頁,共 76 頁符仍是圖形不同內(nèi)容,屏幕刷新頻率等都對系統(tǒng)性能有重要影響; 1.8 幾個(gè)專業(yè)術(shù)語和概念 系列機(jī):具有基本相同的體系結(jié)構(gòu),使用相同的基本指令系統(tǒng)的多個(gè)不同型號的計(jì) 算機(jī)組成一個(gè)產(chǎn)品系列, 系列機(jī)的顯現(xiàn)是運(yùn)算機(jī)進(jìn)展過程中的一個(gè)重要大

28、事,對運(yùn)算機(jī) 的推廣應(yīng)用起到重要的作用; 兼容:指運(yùn)算機(jī)軟件或硬件的通用性,使用或運(yùn)行在這個(gè)型號的運(yùn)算機(jī)系統(tǒng)中的硬 件, 軟件也能應(yīng)用于另外一個(gè)型號的運(yùn)算機(jī)系統(tǒng)時(shí),就稱這兩臺運(yùn)算機(jī)在硬件或軟件上 存在兼容性, 通常在同一個(gè)系列的不同型號的運(yùn)算機(jī)之間是存在這種兼容性的,老的軟 件和早期的硬件產(chǎn)品可以在新的機(jī)型中使用, 這極大地疼惜了用戶的投資, 也算是運(yùn)算 機(jī)可以更好地推廣應(yīng)用的必要條件; 兼容運(yùn)算機(jī)和兼容部件, 某個(gè)廠家的勝利運(yùn)算機(jī)系統(tǒng)或某些部件被其他廠家在保持 相同的功能特性的前提下,通過合法渠道重新設(shè)計(jì),組織生產(chǎn)并投入市場營銷,這些產(chǎn) 品被稱為兼容運(yùn)算機(jī)或部件產(chǎn)品; 軟件可移植性: 指把使

29、用在某個(gè)系列運(yùn)算機(jī)中的軟件直接或很少修改就能運(yùn)行在另 外一個(gè)系列運(yùn)算機(jī)的可能性, 通常期望在同一個(gè)系列的運(yùn)算機(jī)中要有這種兼容性, 至少 期望后來顯現(xiàn)的性能更高的運(yùn)算機(jī)要能運(yùn)行早期運(yùn)算機(jī)系統(tǒng)中已有的軟件; 但這里更多 指的是在不同系列的運(yùn)算機(jī)中的程序是否可以直接移植或者實(shí)現(xiàn)移植的難易程度, 通常 只有高級語言的源程序才有移植的可能性, 性; 匯編語言或機(jī)器語言基本上沒有移植的可能 固件:同一項(xiàng)功能,既可以用硬件實(shí)現(xiàn),處理速度和實(shí)現(xiàn)成本可能要高一些,也可 以用軟件實(shí)現(xiàn),會降低硬件成本,但運(yùn)行速度可能要慢一些;例如,早期的運(yùn)算機(jī)中有 的沒有設(shè)置完成浮點(diǎn)數(shù)運(yùn)算的硬件,就用軟件子程序來完成浮點(diǎn)數(shù)的運(yùn)算功

30、能;而現(xiàn)在 的運(yùn)算機(jī)系統(tǒng)中,通常都配置了硬件的浮點(diǎn)數(shù)運(yùn)算部件,有更高的處理才能;哪些功能 選用硬件實(shí)現(xiàn), 哪些功能選用軟件實(shí)現(xiàn)是要權(quán)衡的一件事情;通常人們把原先用軟件實(shí) 現(xiàn)的一項(xiàng)功能改為用硬件來實(shí)現(xiàn),就稱這一硬件為固件; 常見題型及其解法 題型一 挑選填空題 例 2.1 諾依曼機(jī)工作方式的基本特點(diǎn)是 ( A) 多指令流單數(shù)據(jù)流 按地址(B) 拜望并次序執(zhí)行指令 堆棧(C) 操作 (D) 儲備器按內(nèi)部挑選地址 解:( B) 例 2.2 運(yùn)算機(jī)系統(tǒng)中的儲備器系統(tǒng)是指 ,沒有外部儲備器的運(yùn)算機(jī)監(jiān)控 程序可以存放在 中; (A) RAM, CPU( B) ROM, RAM ( C)主儲備器, RAM和

31、 ROM ( D)主儲備器和外儲備器, ROM 解:( D) 第 7 頁,共 76 頁例 2.3 運(yùn)算機(jī)系統(tǒng)由硬件系統(tǒng)和軟件系統(tǒng)構(gòu)成, 硬件系統(tǒng)由 和 , , , 輸入設(shè)備和輸出設(shè)備等五部分組成;軟件系統(tǒng)通常分為 兩大類; 解:運(yùn)算器;把握器;儲備器;系統(tǒng)軟件;應(yīng)用軟件; 例 2.4 對運(yùn)算機(jī)進(jìn)展史的劃分, 歷史上常以器件為標(biāo)準(zhǔn)劃分: 第一代是 計(jì) 算機(jī),其次代是 運(yùn)算機(jī),第三代是 運(yùn)算機(jī),第四代是大規(guī)模集成電路 運(yùn)算機(jī);以運(yùn)算機(jī)語言可劃分為:第一級是 ,其次級是 ,第三級 是 ,第四級是模塊化語言; 解:電子管;晶體管;集成電路;機(jī)器語言;匯編語言;高級語言; 例 2.5 主頻是運(yùn)算機(jī)的一個(gè)

32、重要指標(biāo),它的單位是 MIPS,也就是 ; 解: MHz ;每秒百萬指令數(shù); 學(xué)問點(diǎn)測試 一,挑選(單項(xiàng)) 1. 運(yùn)算機(jī)經(jīng)受了從器件角度劃分的四代進(jìn)展歷程, 大多數(shù)運(yùn)算機(jī)仍是 式運(yùn)算機(jī); ( A)實(shí)時(shí)處理; ( B)智能化; ( C)并行; ( D)馮諾依曼; ;運(yùn)算速度的單位是 但從系統(tǒng)結(jié)構(gòu)來看, 至今為止絕 2. Von.Neumann 型運(yùn)算機(jī)的最根本特點(diǎn)是 ( A)以運(yùn)算器為中心; ;(可多項(xiàng)) ( B)指令并行執(zhí)行; ( C)儲備器按地址拜望; ( D)數(shù)據(jù)以二進(jìn)制編碼,并接受二進(jìn)制運(yùn)算; 3. 運(yùn)算機(jī)的外圍設(shè)備是指 ( A)輸入 /輸出設(shè)備; ( B)外儲備器; ( C)遠(yuǎn)程通信設(shè)

33、備; ( D)除了 CPU 和內(nèi)存以外的其它設(shè)備; 4. 完整的運(yùn)算機(jī)系統(tǒng)應(yīng)包括 ( A)運(yùn)算器,儲備器,把握器; ( B)外部設(shè)備和主機(jī); ( C)主機(jī)和有用程序; ( D)配套的硬件設(shè)備和軟件系統(tǒng); 5. 至今為止,運(yùn)算機(jī)中的全部信息仍以二進(jìn)制方式表示的理由是 ( A)節(jié)約元件; 第 8 頁,共 76 頁( B)運(yùn)算速度快; ( C)物理器件的性能預(yù)備; ( D)信 息處理便利; 6. 對運(yùn)算機(jī)的產(chǎn)生有重要影響的是 ( A)牛頓,維納,圖靈; ( B)萊布尼茲,布爾,圖靈; ( C)巴貝奇,維納,麥克斯韋; ( D)萊布尼茲,布爾,克枈; 7. 運(yùn)算器的主要功能是進(jìn)行 ( A)規(guī)律運(yùn)算;

34、( B)算術(shù)運(yùn)算; ( C)規(guī)律運(yùn)算與算術(shù)運(yùn)算; ( D)初等函數(shù)的運(yùn)算 第 9 頁,共 76 頁其次章 數(shù)據(jù)的表示和運(yùn)算 復(fù)習(xí)要點(diǎn): 明白進(jìn)位數(shù)制及相互轉(zhuǎn)換,字符(包括漢字) 明白校驗(yàn)碼引入的目的,原理及其應(yīng)用; ,字符串和 BCD 數(shù)在運(yùn)算機(jī)中的表示; 懂得本章的基本概念,如真值,機(jī)器數(shù),最小碼距,并行進(jìn)位等; 懂得定點(diǎn)數(shù)的表示原理,把握定點(diǎn)數(shù)的運(yùn)算,溢出的概念與判定方法,明白陣列乘 法器; 懂得浮點(diǎn)數(shù)的表示,把握浮點(diǎn)數(shù)的加 /減運(yùn)算方法; 懂得算術(shù)規(guī)律單元相關(guān)術(shù)語的定義, 其次章 數(shù)據(jù)的表示和運(yùn)算 常考學(xué)問點(diǎn)精講 2.1 數(shù)制與編碼 1. 進(jìn)位計(jì)數(shù)法 ALU 的基本功能,組成和結(jié)構(gòu) 用少

35、量的數(shù)字符號,按先后次序把它們排成數(shù)位,由低到高進(jìn)行計(jì)數(shù),計(jì)滿進(jìn)位, 這樣的方法稱為進(jìn)位計(jì)數(shù)制 . D1 D 0 D-1 D-2 D-k N = D m-1 Dm-2 i ( -k i m-1)為基本符號,小數(shù)點(diǎn)位置隱含在 N = m-1ri Di * i = -k 符號: r 基數(shù),進(jìn)位制基本特點(diǎn)數(shù),數(shù)字符號個(gè)數(shù) i 取值 -k 到 m-1 r (位權(quán)) iD 0 與 D-1 位之間; 此時(shí)該數(shù)制稱 r 進(jìn)制;常用進(jìn)位數(shù)制:有二進(jìn)制,八進(jìn)制,十六進(jìn)制,十進(jìn)制; 2. 進(jìn)位計(jì)數(shù)制之間的轉(zhuǎn)換 1) R 進(jìn)制轉(zhuǎn)換成十進(jìn)制 按權(quán)開放法 :先寫成多項(xiàng)式 ,然后運(yùn)算十進(jìn)制結(jié)果; 2) r 進(jìn)制轉(zhuǎn)換成二進(jìn)

36、制方法 十進(jìn)制數(shù)轉(zhuǎn)二進(jìn)制 整數(shù)部分的轉(zhuǎn)換:除 2 取余法(基數(shù)除法) 除基取余法:把給定的數(shù)除以基數(shù) ,取余數(shù)作為最低位的系數(shù) ,然后連續(xù)將商部分除 以基數(shù) ,余數(shù)作為次低位系數(shù) ,重復(fù)操作直至商為 0; 小數(shù)部分的轉(zhuǎn)換:乘 2 取整法(基數(shù)乘法) 乘基取整法 小數(shù)部分的轉(zhuǎn)換 :把給定的 r 進(jìn)制小數(shù)乘以 2 ,取其整數(shù)作為二進(jìn)制小數(shù) 的第一位 ,然后取小數(shù)部分連續(xù)乘以 2,將所的整數(shù)部分作為其次位小數(shù) ,重復(fù)操作直至得 到所需要的二進(jìn)制小數(shù); 第 10 頁,共 76 頁二進(jìn)制 B 轉(zhuǎn)換成八進(jìn)制 Q 或十六進(jìn)制 H :將二進(jìn)制數(shù)自小數(shù)點(diǎn)開頭左右兩邊分 為 3 位或 4 位一段,再將每段轉(zhuǎn)成相應(yīng)

37、的 1 位八或十六進(jìn)制數(shù)即可; 八進(jìn)制 Q或十六進(jìn)制 H 轉(zhuǎn)換二進(jìn)制 B:將八或十六進(jìn)制數(shù)自小數(shù)點(diǎn)開頭左右兩 邊每位轉(zhuǎn)成分為 3 位或 4 位二進(jìn)制數(shù),再將它們合起來即可; 2.2 真值和機(jī)器數(shù) 真值 : 正,負(fù)號加某進(jìn)制數(shù)確定值的形式,也即機(jī)器數(shù)所代表的實(shí)際值; 機(jī)器數(shù):表示一個(gè)數(shù)值數(shù)據(jù)的機(jī)內(nèi)編碼,也即符號以及數(shù)值都數(shù)碼化的數(shù); 2.3 BCD 碼 所謂編碼, 就是用少量簡潔的基本符號,對大量復(fù)雜多樣的信息進(jìn)行確定規(guī)律的組 合用于表示多種信息; 在運(yùn)算機(jī)系統(tǒng)中, 凡是要進(jìn)行處理 (包括運(yùn)算, 查找, 排序,分類, 統(tǒng)計(jì), 合并等), 儲備和傳輸?shù)男畔?都是用二進(jìn)制進(jìn)行編碼的; 用四位二進(jìn)制代

38、碼的不同組合來表示一個(gè)十進(jìn)制數(shù)碼的編碼方法, 稱為二十進(jìn)制 編碼,也稱 BCD 碼 Binary Coded Decimal ; 通常接受壓縮十進(jìn)制串; 依據(jù)每個(gè)位有否確定的位權(quán),可把 BDC 碼分為有權(quán)碼和無權(quán)碼; 常用的 BCD 碼有 8421 碼,余 3 碼和格雷碼等; 2.4 字符與字符串 1. 字符編碼 ASCII 碼:“美國標(biāo)準(zhǔn)信息交換代碼” ;用 7 位二進(jìn)制編碼,可表示 27=128 個(gè)字 符; ASCII 碼中,編碼值 0 31 為把握字符,用于通信把握或設(shè)備的功能把握; 編碼值 32 是空格 SP;編碼值 127 是 DEL 碼;其余的 94 個(gè)字符稱為可印刷字符; EBC

39、DIC 碼 擴(kuò)展的 BCD 碼,8 位二進(jìn)制編碼,可以表示 256 個(gè)編碼,但只選 用其中一部分;主要用在 2. 漢字的表示與編碼 IBM 公司生產(chǎn)的各種機(jī)器中; 1981 年 GB2312-80國家標(biāo)準(zhǔn);每個(gè)編碼用兩個(gè)字節(jié)表示;共收錄一級 3755,二 級 3008 個(gè)漢字,各種符號 目前最新的漢字編碼是 682 個(gè),共計(jì) 7445 個(gè); 2022 年公布的國家標(biāo)準(zhǔn) GB18030,收錄了 27484 個(gè)漢字; 編碼標(biāo)準(zhǔn)接受單字節(jié), 2B,4B; 漢字的編碼: 漢字的輸入編碼, 漢字內(nèi)碼, 字模碼是運(yùn)算機(jī)中用于輸入, 內(nèi)部處理, 輸出三種不同用途的編碼; 輸入編碼:數(shù)字編碼,如區(qū)位碼;字音編

40、碼,如拼音碼;字形編碼,如五筆字 型;漢字混合編碼等,用于漢字的輸入; 內(nèi)部處理:機(jī)內(nèi)碼用于漢字的儲備,交換,查詢等 ,通常機(jī)內(nèi)碼 :最高位為 1; 輸出編碼: 字型碼用點(diǎn)陣組成的漢字的代碼構(gòu)成字庫,用于漢字的顯 示或打印等輸出; 仍就留意區(qū)位碼,國標(biāo)碼與機(jī)內(nèi)碼的關(guān)系: (十六進(jìn)制) 國標(biāo)碼區(qū)位碼 2022H 機(jī)內(nèi)碼國標(biāo)碼 8080H 3. 字符串的表示 第 11 頁,共 76 頁字符串已成為最常用的數(shù)據(jù)類型之一,很多運(yùn)算機(jī)中供應(yīng)字符串操作功能; 字符串是指連續(xù)的一串字符; 兩種存放方式: 它們占主存連續(xù)多個(gè)字節(jié), 當(dāng)主存字由 2 個(gè)或 4 個(gè)字節(jié)組成時(shí), 在同一個(gè)主存字中, 既有按從低位向高

41、位字節(jié)次序存放字串內(nèi)容的,也有按從高位向低 位字節(jié)次序存放字串內(nèi)容的; 2.5 校驗(yàn)碼 校驗(yàn)碼是指能夠發(fā)覺或又能夠自動(dòng)訂正錯(cuò)誤的數(shù)據(jù)編碼,也稱 “檢錯(cuò)糾錯(cuò)編碼 ”;通 常某種編碼都由很多碼字構(gòu)成, 兩個(gè)合法代碼對應(yīng)位上編碼不同的位數(shù)稱為碼距, 任意 兩個(gè)碼字之間最少變化的二進(jìn)制位數(shù),稱為最小碼距;假如在編碼中引入確定的冗余, 增加代碼的最小碼距,使得編碼中顯現(xiàn)一個(gè)錯(cuò)誤時(shí)就成為非法代碼; 校驗(yàn)碼有如下類型: 校驗(yàn)位與信息位的形成關(guān)系:線性碼,非線性碼 信息位與校驗(yàn)位的約束條件:分組碼,卷積碼 碼 字本身的結(jié)構(gòu)特點(diǎn):循環(huán)碼,非循環(huán)碼 信息位與 校驗(yàn)位排列位置關(guān)系:系統(tǒng)碼,非系統(tǒng)碼 1. 奇偶校驗(yàn)碼

42、 ( 1)原理:在原編碼加上一個(gè)校驗(yàn)位,它的碼距等于 2,能檢測出一位錯(cuò)(或奇數(shù) 位錯(cuò)),但不能確定出錯(cuò)位置,也不能測出偶數(shù)位錯(cuò),有奇,偶兩種校驗(yàn);由如干位有 效信息(如一個(gè)字節(jié)) ,再加上一個(gè)二進(jìn)制位(校驗(yàn)位)組成校驗(yàn)碼字; ( 2) 交叉奇偶校驗(yàn):對一個(gè)數(shù)據(jù)塊另可以接受的方法,即對每行的數(shù)據(jù)有一個(gè)校 驗(yàn)位,同樣對每列的數(shù)據(jù)有一個(gè)校驗(yàn)位,這樣比較僅接受一位校驗(yàn)要保險(xiǎn)多了; 2. 海明校驗(yàn)碼 海明碼( n,k),信息位數(shù) k nr,校驗(yàn)位數(shù) r = n k,其碼長 n 2 r 1 , 最 小 碼距 d = 3;是一種很有效的校驗(yàn)方法,只要增加少數(shù)幾個(gè)校驗(yàn)位,就能檢測出二位同 時(shí)出錯(cuò),亦能檢測出

43、一位出錯(cuò)并能自動(dòng)復(fù)原出錯(cuò)位的正確值,后者被稱為自動(dòng)糾錯(cuò); ( 1)原理 : 在 k 位數(shù)據(jù)加上 r 個(gè)位校驗(yàn),形成 k+r 位新碼字,并使碼距比較勻稱拉 大;使每一數(shù)據(jù)位與不同校驗(yàn)位組合建立對應(yīng)關(guān)系,就某一位出錯(cuò)后,就會引起相關(guān)的 幾個(gè)校驗(yàn)位的值發(fā)生變化, 糾錯(cuò)供應(yīng)了依據(jù); 這不但可以發(fā)覺出錯(cuò), 仍能指出是哪一位出錯(cuò) ,為進(jìn)一步自動(dòng) 設(shè) k 個(gè)數(shù)據(jù)位, r 個(gè)校驗(yàn)位,為檢出雙位出錯(cuò)與自動(dòng)校正一位錯(cuò),因此位數(shù) r 和位 數(shù) k 應(yīng)中意如下關(guān)系 : 2 r-1 k + r 3. 循環(huán)碼( CRC) CRC 碼的任合一個(gè)合法碼字循環(huán)移位得到的仍是一個(gè)合法碼字,用于發(fā)覺并糾 正信息串行讀寫,儲備或傳送

44、中顯現(xiàn)的一位,多位錯(cuò)誤,因此串行通信的場合得到普遍 應(yīng)用; 2.6 定點(diǎn)數(shù)的表示 1. 無符號數(shù)的表示 指整個(gè)機(jī)器字長的全部二進(jìn)制位均表示數(shù)值位,相當(dāng)于數(shù)的確定值;如機(jī)器字長為 n+1 位,就數(shù)值表示: X = X 0X1X 2 X n X i=0,1, 0 i n n n-1 X 02 + X 12 + 1 + Xn-12 + X n 第 12 頁,共 76 頁數(shù)值范疇 0 X 2 n+1-1 此時(shí)二進(jìn)制的最高位也是數(shù)值位,其權(quán)值等于 2 n; 2. 帶符號數(shù)的表示 最高位被用來表示符號位,而不再表示數(shù)值位; ( 1)定點(diǎn)整數(shù) 數(shù)值表示: X = X 0X1X 2 n n-1 X 02 +

45、X 12 Xn X i=0,1, 0 i n 1 + Xn-12 + X n + 數(shù)值范疇 0 X 2 n+1 -1 (2)定點(diǎn)小數(shù) 數(shù)值表示 X = X . X1X 2 Xn -1 -n+1 X 1 2 + + Xn-12 數(shù)值范疇 0X 1-2-nXi=0,1, 0 i n -n + X n2 定點(diǎn)小數(shù)也被用在浮點(diǎn)數(shù)的尾數(shù)部分; 定點(diǎn)數(shù)可以是整數(shù),也可以是純小 數(shù),原理是相同的,只是數(shù)值表示范疇不 同,故下面用定點(diǎn)小數(shù)來爭論數(shù)據(jù)的原碼,補(bǔ)碼表示; 3 原碼表示 是用機(jī)器數(shù)的最高一位代表符號, 以下各位給出數(shù)值確定值的表示方法; 其定義為: X 原 = X 0X 1 1 - X -1 X 0

46、 這里的 X 為數(shù)的實(shí)際值(真值) 原碼的性質(zhì) : , X 原為原碼表示的機(jī)器數(shù); 符號位加數(shù)的確定值, 0 正 1 負(fù); 零有兩個(gè)編碼; 加減運(yùn)算復(fù)雜,乘除運(yùn)算規(guī)章簡潔; 表示簡潔,易于同真值之間進(jìn)行轉(zhuǎn)換; (4)補(bǔ)碼表示法 最高位為符號,其余各位的值按 2 取模;其定義為 2X 補(bǔ)= X 2 + X 0X 1 -1X 0 MOD 補(bǔ)碼的性質(zhì) : 機(jī)器數(shù)和它的真值的關(guān)系 X 補(bǔ) = 2* 符號位 + X ; 0 有唯獨(dú)的編碼 兩數(shù)補(bǔ)碼加法 ,把符號位與數(shù)值位同等處理, 結(jié)果的符號位與數(shù)值位都正確; X 補(bǔ) 與其真值的關(guān)系:假定 X 補(bǔ) = X 0X 1 X2 X n,就有 X 補(bǔ) = 2X

47、0+X ; 由此又可以得到從 X 補(bǔ) 求 X 的關(guān)系 : X = X 補(bǔ) - 2X 0 = X 0X 1X 2 X n - 2X 0 第 13 頁,共 76 頁= -X 0 + 0.X 1X 2 X n 這個(gè)結(jié)論被用于補(bǔ)碼乘法的運(yùn)算中; ( 5)反碼表示 用機(jī)器數(shù)的最高一位代表符號,數(shù)值位是對負(fù)數(shù)值各位取反的表示方法 ,其定 義為 X 反 = X -n)+ X 0X 1 -n M OD( 2 - 2 ) ( 2 - 2-1 X 0 反碼的性質(zhì) :零有兩個(gè)編碼; 現(xiàn)在的運(yùn)算機(jī)系統(tǒng)中,較少使用反碼; (6)三種編碼的比較 對于正數(shù)它們值都等于真值本身,而于負(fù)數(shù)各有不同的表示; 最高位都是符號位,補(bǔ)

48、碼和反碼的符號位可作為數(shù)值位,與數(shù)值位一起參 加運(yùn)算;但原碼的符號位必需分開進(jìn)行處理; 對于真值 0,原碼和反碼各有兩種表示,補(bǔ)碼只有一種表示; 原碼,反碼的正,負(fù)數(shù)范疇相對零對稱;補(bǔ)碼負(fù)數(shù)較正數(shù)能多表示一個(gè)數(shù) 值; 2.7 定點(diǎn)數(shù)的運(yùn)算 1. 定點(diǎn)數(shù)的移位 運(yùn)算 運(yùn)算機(jī)可以進(jìn)行 基本的與,或,非邏 輯運(yùn)算,另一類規(guī)律 運(yùn)算是移位操作;移 位 操 作 分 為 算 術(shù) 移 圖 2.1 循環(huán)與移位操作示意 位,規(guī)律移位和循環(huán) 移位三種,每一種移位又有左移和右移之分; 各種移位操作的示意如圖 2.1 所示;算術(shù)移位可用于實(shí)現(xiàn)乘除法的運(yùn)算;算術(shù)右移 保持最高位 (符號位) 不變, 而規(guī)律右移最高位補(bǔ)

49、0;循環(huán)移位可以與進(jìn)位 C 一起進(jìn)行, 構(gòu)成大循環(huán),也可不包括進(jìn)位位,構(gòu)成小循環(huán); 例如補(bǔ)碼數(shù)的算術(shù)移位 ,將 X 補(bǔ) 的符號位與數(shù)值位一起右移一位并保持原符號位的 值不變,可實(shí)現(xiàn)除法功能 除以 2,即 X/2 補(bǔ) = X 0X 0X 1X 2 X n-1X n;2 補(bǔ)碼加 /減運(yùn)算 ( 1)補(bǔ)碼加法的公式 : X 補(bǔ) Y 補(bǔ) X Y 補(bǔ) mod 2 可以證明,在模 2 意義下,任意兩數(shù)的補(bǔ)碼之和等于該兩數(shù)之和的補(bǔ)碼;其結(jié)論也 適用于定點(diǎn)整數(shù);這是補(bǔ)碼加法的理論基礎(chǔ);運(yùn)算的特點(diǎn): 符號位要作為數(shù)的一部分一起參與運(yùn)算; 在模 2 的意義下相加,即大于 2 的進(jìn)位要丟掉; ( 2)補(bǔ)碼減法運(yùn)算的公

50、式: 第 14 頁,共 76 頁減法運(yùn)算要設(shè)法化為加法完成,這樣可以運(yùn)算器中只要加法器就行了; X Y 補(bǔ) X 補(bǔ) Y 補(bǔ) X 補(bǔ) Y 補(bǔ)3 溢出及其檢測 如在運(yùn)算過程中如顯現(xiàn)結(jié)果的值大于機(jī)器所能表示的數(shù)值范疇的現(xiàn)象,稱為“溢 出”;例如定點(diǎn)小數(shù)之和大于等于 1 或小于 -1 就是溢出; 圖 2.2 定點(diǎn)數(shù)的表示范疇 三種判別方法 1 單符號位 參與相加的 2 個(gè)加數(shù)的最高位,即符號位參與運(yùn)算,如顯現(xiàn)正加正為負(fù),或負(fù)加負(fù) 為正等結(jié)果,即顯現(xiàn)溢出; ( 2)雙符號位法也稱為“變形補(bǔ)碼”或“模 4 補(bǔ)碼” ; 結(jié)果的兩個(gè)符號位的代碼不一樣時(shí),表示溢出,兩個(gè)符號位的代碼一樣時(shí),表示沒 有溢出,最高符

51、號位永久表示結(jié)果的正確符號; 4 定點(diǎn)數(shù)的乘 / 除運(yùn)算 ( 1)原碼一位乘法 設(shè) n 位被乘數(shù)和乘數(shù)用定點(diǎn)小數(shù)表示 被乘數(shù) X 原X s . X 1X 2 X n 乘數(shù) Y 原Y s . Y1Y 2 Y n n 位中不含符號位 就乘積 Z 原 X s Ys 0. X 1X2 X n0. Y 1Y2 Y n 式中, X s 為被乘數(shù)符號, Y s 為乘數(shù)符號; 算法規(guī)章 設(shè) Y i 為 Y 中的第 i 位(當(dāng)前位) ,規(guī)章為 i. 假如 Y i=1,部分積加 X,右移一位; ii. 假如 Y i=0,部分積加 0,右移一位; 重復(fù) n 步; ( 2) Booth 算法 即補(bǔ)碼一位乘法; 設(shè)被乘

52、數(shù) X 補(bǔ) = X 0.X 1 X 2 X n 乘數(shù) Y 補(bǔ) = Y 0.Y 1Y 2 Y n 依據(jù) Booth 總結(jié)算法有如下規(guī)章 補(bǔ)碼乘法規(guī)章 Y i 為 Y 中的第 i 位(當(dāng)前位) ,依據(jù) Yi 與 Y i+1 的值,規(guī)章為 i. 假如 Y i=Y i+1, 處于 0 串中, 部分積不變,右移一位; ii. 假如 Y iY i+1=01,處于 1 串結(jié)尾,部分積加 x 補(bǔ),右移一位; iii. 假如 Y iY i+1=10,處于 0 串結(jié)尾,部分積加 -x 補(bǔ) ,右移一位; iv. 假如 Y iY i+1=11, 處于 1 串中, 部分積不變,右移一位; 重 復(fù) i+1 步 , 最終

53、一步 不移 位 ; 第 15 頁,共 76 頁( 3)原碼一位除法(加減交替法 /不復(fù)原余數(shù)法) 關(guān)鍵是運(yùn)算規(guī)章,規(guī)章清晰,操作流程就大半清晰了; 假如余數(shù)為正,商上 假如余數(shù)為負(fù),商上 1 余數(shù)左移一位,下次減除數(shù); 0,余數(shù)左移一位,下次加除數(shù); 重復(fù) n+1 步,最終一步不移位; ( 5)陣列乘法器 串行相加硬件結(jié)構(gòu)簡潔,速度太慢,執(zhí)行一次乘法至少是加法操作 n 倍的時(shí)間; 由于乘法大約占全部算術(shù)運(yùn)算操作的 不帶符號的陣列乘法器 設(shè)有1/3 左右,故接受高速乘法部件是特別必要的; 兩個(gè)不帶符號的二進(jìn)制整數(shù) X xm 1 x1x 0, Y y n1 y1y0它們的數(shù)值分別為 x 和 y,即

54、: m 1 n1i jx xi 2 y y j 2 i 0 j0被乘數(shù) X 與乘數(shù) Y 相乘 ,產(chǎn)生 m n 項(xiàng)乘積 P,每一項(xiàng)乘積用一個(gè)與門 P 完成,每 一項(xiàng)部分積求和用一位全加器 FA 完成,合起來就是一個(gè) P/FA 單元電路如圖 2.4 所示, 再將這些單元電路疊加,就成為陣列乘法器;以 44 位為例,這個(gè)無符號陣列乘法器 如圖 2.5 所示,每一個(gè) Y 項(xiàng)與全部的 X 項(xiàng)相乘,就是一行部分積,共有 3 個(gè)部分積, 最下一行已經(jīng)是最終結(jié)果的積了, 即圖中虛線的 4 行;留意每個(gè)單元的最左單元的進(jìn)位 輸入,以仍可以有速度更高的無符號陣列乘法器方案; 各 次 部 分 積 圖 2.4 一位乘

55、 /全加 圖 2.5 無符號陣列乘法 2.8 浮點(diǎn)數(shù)的表示和運(yùn)算 浮點(diǎn)數(shù)的表示 由于受數(shù)值范疇和表示格式等各方面的限制, 直接用定點(diǎn)小數(shù)或整數(shù)無法表示如電 子的質(zhì)量 9 10-28 克,太陽的質(zhì)量 210 33克,圓周率 3.1416 等,用小數(shù)點(diǎn)可以左右移 動(dòng)的浮點(diǎn)數(shù)表示就比較便利; 1.表示方法 浮點(diǎn)數(shù)通常被表示成: N =-1 Ms M RE M s: 尾數(shù)的符號; E: 階碼,含一位符號 , 通常用移碼表示; M: 尾數(shù),通常用原碼表示; R: 通常為 2,不用明確表示; 通常選用如下格式 : 第 16 頁,共 76 頁M s E M1 位 n 位 m 位 規(guī)格化: 1/2 |M|1

56、為了在尾數(shù)中表示最多的有效數(shù)據(jù)位 為了數(shù)據(jù)表示的唯獨(dú)性; 便于運(yùn)算與比較 機(jī)器零: 全部為 0,特別的數(shù)據(jù)編碼 浮點(diǎn)數(shù)的表示范疇如圖 2.7 所示 正數(shù) 正上溢 + 負(fù)上溢 負(fù)下溢 正 下溢 -負(fù)數(shù) 0圖 2 7 浮點(diǎn)數(shù)的表示范疇 隱匿位技術(shù): 浮點(diǎn)數(shù)尾數(shù)不為 0 時(shí)的最高位稱隱匿位, 在寫入內(nèi)存或磁盤時(shí), 此位不必儲存 該位,可左移尾數(shù)隱匿掉,這種處理技術(shù)稱隱匿位技術(shù),目的是多儲存一個(gè)二進(jìn)位; 為了保持浮點(diǎn)數(shù)的值不變,仍要把原先的階碼值減 1;在將浮點(diǎn)數(shù)取回運(yùn)算器 執(zhí)行運(yùn)算時(shí),再復(fù)原該隱匿位的值和原先的階碼值; 對臨時(shí)浮點(diǎn)數(shù)(通常只顯現(xiàn)在浮點(diǎn)運(yùn)算器內(nèi)部) ,不使用隱匿位技術(shù); 2. 浮點(diǎn)數(shù)標(biāo)

57、準(zhǔn) 浮點(diǎn)數(shù) IEEE754 標(biāo)準(zhǔn) ,規(guī)定常用的浮點(diǎn)數(shù)的格式為: 短浮點(diǎn)數(shù)(單精度) 符號位 階碼 尾數(shù) 總位數(shù) 1823 32 長浮點(diǎn)數(shù)(雙精度) 111 52 64 臨時(shí)浮點(diǎn)數(shù) 115 64 80 三種格式:短實(shí)數(shù),長實(shí)數(shù),臨時(shí)實(shí)數(shù) 第 17 頁,共 76 頁規(guī)格化數(shù): -1 s1.f 2e-127非規(guī)格化數(shù): -1 s0.f 2e-126IEEE754 浮點(diǎn)數(shù)的范疇 格式 最小值 最大值 單精度 E=1, M=0, E=254, f=.1111 , 1.021-127 = 2-126 1.111 1 2 254-127 = 2 1272-2 -23 雙精度 E=1, M=0, E=2046

58、, f=.1111 , 1.021-1023 =2-1022 1.111 1023 1 2-52 2046-1023 =2 2-2 浮點(diǎn)數(shù)的加 /減運(yùn)算 設(shè)兩個(gè)浮點(diǎn)數(shù) X 和 Y 分別為 X = -1 M s M X 2 EX Y = -1M MY 2EY 對它們作加 /減運(yùn)算有如下的步驟 (1)對階操作,求階差 E= EX -EY 使階碼小的數(shù)的尾數(shù)右移 E 位, 階碼取大的階碼值; (2)尾數(shù)加減; (3)規(guī)格化處理 左規(guī)或右規(guī) ; (4)舍入操作,可能帶來又一次規(guī)格化; (5)判結(jié)果的正確性,即檢查階碼上下溢出 . 2.9 算術(shù)規(guī)律單元 ALU 串行加法器和并行加法器 1. 一位全加器

59、全加器( FA)是最基本的加法單元,有加數(shù) 輸入,和 Si 與進(jìn)位 Ci 共 2 個(gè)輸出;表達(dá)式為: 圖 2.8 一位全加 A i,加數(shù) Bi 與低位的進(jìn)位 Ci-1 共3 個(gè) 第 18 頁,共 76 頁和: Si=A i Bi Ci-1 進(jìn)位: Ci=A iBi+A i BiC i-1 全加器的硬件規(guī)律如圖 2.8 所示; 1. 并行加法器 并行加法器就由多個(gè)全加器組成,其位數(shù)與機(jī)器的字長相同,各位數(shù)據(jù)同時(shí)運(yùn)算; 如圖 2.9 所示,為一個(gè) 4 位全加器組成的并行加法器; 進(jìn)位鏈:指并行加法器中,傳 遞進(jìn)位信號的規(guī)律線路連接起來構(gòu) C3 3321000成的進(jìn)位網(wǎng)絡(luò);將全加器的進(jìn)位表 達(dá)式分成

60、 3C2 2C1 11C0 10進(jìn) 位 產(chǎn) 生 函 數(shù) : Gi=A iB i ; 3220進(jìn) 位 傳 遞 函 數(shù) : Pi=A i 圖 2.9 接受串行進(jìn)位的并行加法器 Bi ; Ci=G i+Pi Ci-1 ; 進(jìn)位表達(dá)式 : 通常也將 G 稱為本地進(jìn)位; (1) 串行進(jìn)位(行波進(jìn)位) 串行進(jìn) 位:各級進(jìn)位信號串行級聯(lián); 圖 2.9 即為一個(gè)串行進(jìn)位的并行加法器;可見低位運(yùn)算產(chǎn)生的進(jìn)位所需要的時(shí)間, 將可能影響直至最高位運(yùn)算的時(shí)間,位數(shù)越多推遲時(shí)間就越長, 而全加器本身的求和延 遲只為次要因素;所以加快進(jìn)位產(chǎn)生和提高傳遞的速度是關(guān)鍵; (2) 并行進(jìn)位(先行進(jìn)位) 并行進(jìn)位:各級進(jìn)位信號同

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論