計(jì)算機(jī)組成原理習(xí)題解答_第1頁
計(jì)算機(jī)組成原理習(xí)題解答_第2頁
計(jì)算機(jī)組成原理習(xí)題解答_第3頁
計(jì)算機(jī)組成原理習(xí)題解答_第4頁
計(jì)算機(jī)組成原理習(xí)題解答_第5頁
已閱讀5頁,還剩86頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、文檔編碼 : CZ1D2S5M1Y10 HQ6P1P6F7K1 ZS3M3X4B8U6學(xué)習(xí)必備 歡迎下載 運(yùn)算機(jī)組成原理習(xí)題解答 第 1 章 1. 說明概念或術(shù)語:實(shí)際機(jī)器,虛擬機(jī)器,機(jī)器指令,機(jī)器指令格式,主機(jī), CPU , 主存, I/O , PC, IR , ALU , CU , AC , MAR , MDR ,機(jī)器字長,儲(chǔ)備字長,指令字長, CPI, TC,主頻,響應(yīng)時(shí)間,吞吐量, MIPS , MFLOPS ; 答:略 2. 如何懂得運(yùn)算機(jī)系統(tǒng)的層次結(jié)構(gòu)?說明高級(jí)語言,匯編語言及機(jī)器語言的差別與聯(lián) 系; 答: 運(yùn)算機(jī)系統(tǒng)是由軟件和硬件結(jié)合而成的整體; 為了提高運(yùn)算機(jī)系統(tǒng)的好用性, 程

2、 序設(shè)計(jì)語言的描述問題才能越來越強(qiáng), 各種程序設(shè)計(jì)語言大體上是一種層次結(jié)構(gòu), 即高等級(jí) 編程語言指令包含低等級(jí)編程語言指令的全部功能; 對(duì)于使用不同層次編程語言的程序員來說, 他們所看到的同一運(yùn)算機(jī)系統(tǒng)的屬性是不同 的,這些屬性反映了同一運(yùn)算機(jī)系統(tǒng)的不同層次的特點(diǎn), 即同一運(yùn)算機(jī)系統(tǒng)可劃分成多個(gè)層 次結(jié)構(gòu),不同層次的結(jié)構(gòu)反映的運(yùn)算機(jī)系統(tǒng)的特點(diǎn)不同而已; 機(jī)器語言是能夠被運(yùn)算機(jī)硬件直接識(shí)別和執(zhí)行的程序設(shè)計(jì)語言, 機(jī)器語言是一種面對(duì) 硬件的, 數(shù)字式程序設(shè)計(jì)語言; 匯編語言和高級(jí)語言均用符號(hào)表示機(jī)器語言指令, 指令很容 易閱讀和編寫, 但不能被硬件直接識(shí)別和執(zhí)行, 它們均是一種面對(duì)軟件的, 符號(hào)式

3、程序設(shè)計(jì) 語言; 相對(duì)于匯編語言而言, 高級(jí)語言描述問題的才能更強(qiáng); 翻譯成機(jī)器語言程序后,才能在運(yùn)算機(jī)硬件上執(zhí)行; 高級(jí)語言和匯編語言程序必需 3. 運(yùn)算機(jī)系統(tǒng)結(jié)構(gòu),運(yùn)算機(jī)組成的定義各是什么?兩者之間有何關(guān)系? 答:運(yùn)算機(jī)系統(tǒng)結(jié)構(gòu)是指機(jī)器語言程序員或編譯程序編寫者所看到的運(yùn)算機(jī)系統(tǒng)的屬 性,包括概念性結(jié)構(gòu)和功能特性兩個(gè)方面; 下的功能支配; 主要爭辯運(yùn)算機(jī)系統(tǒng)軟硬件交界面的定義及其上 運(yùn)算機(jī)組成是指運(yùn)算機(jī)硬件設(shè)計(jì)人員所看到的運(yùn)算機(jī)系統(tǒng)的屬性; 主要爭辯如何合理地 規(guī)律實(shí)現(xiàn)硬件的功能; 運(yùn)算機(jī)組成是運(yùn)算機(jī)系統(tǒng)結(jié)構(gòu)的規(guī)律實(shí)現(xiàn); 4. 馮 諾依曼模型的儲(chǔ)備程序原理包含哪些內(nèi)容,對(duì)運(yùn)算機(jī)硬件和軟件有

4、哪些要求? 馮諾依曼模型運(yùn)算機(jī)的特點(diǎn)有哪些? 答:儲(chǔ)備程序原理是指程序和數(shù)據(jù)預(yù)先存放在儲(chǔ)備器中, 次序從儲(chǔ)備器中逐條取出指令并執(zhí)行; 機(jī)器工作時(shí)自動(dòng)按程序的規(guī)律 儲(chǔ)備程序原理要求儲(chǔ)備器是由定長單元組成的, 按地址拜望的, 一維線性空間結(jié)構(gòu)的存 儲(chǔ)部件; 要求軟件指令支持用地址碼表示操作數(shù)在儲(chǔ)備器中的地址, 指令長度為儲(chǔ)備單元長 度的倍數(shù),編程語言中必需有轉(zhuǎn)移型指令,以實(shí)現(xiàn)程序儲(chǔ)備次序到程序規(guī)律次序的轉(zhuǎn)變; 馮 諾依曼模型運(yùn)算機(jī)的特點(diǎn)可歸納為如下幾點(diǎn): 運(yùn)算機(jī)由運(yùn)算器,把握器,儲(chǔ)備器,輸入設(shè)備和輸出設(shè)備組成; 儲(chǔ)備器是由定長單元組成的,按地址拜望的,一維線性空間結(jié)構(gòu); 程序由指令組成,指令和數(shù)據(jù)

5、以等同位置存放在儲(chǔ)備器中; 機(jī)器工作時(shí)自動(dòng)按程序的規(guī)律次序從儲(chǔ)備器中逐條取出指令并執(zhí)行; 指令由操作碼和地址碼組成, 操作碼用于表示操作的性質(zhì), 地址碼用于表示操作數(shù)在 1第 1 頁,共 54 頁學(xué)習(xí)必備 歡迎下載 儲(chǔ)備器中的地址; 指令和數(shù)據(jù)均接受二進(jìn)制方式表示,運(yùn)算亦接受二進(jìn)制方式; 機(jī)器以運(yùn)算器為中心,輸入 /輸出設(shè)備與儲(chǔ)備器間的數(shù)據(jù)傳送都經(jīng)過運(yùn)算器; 5. 現(xiàn)代運(yùn)算機(jī)均接受馮 諾依曼模型,但進(jìn)行了改進(jìn),畫顯現(xiàn)代運(yùn)算機(jī)硬件組成及結(jié)構(gòu) 圖,并說明各部件的作用; 答:現(xiàn)代運(yùn)算機(jī)結(jié)構(gòu)大多在馮 能;改進(jìn)主要包括以儲(chǔ)備器為中心, 組成及結(jié)構(gòu)圖如下: 諾依曼模型基礎(chǔ)上進(jìn)行了改進(jìn),以進(jìn)一步提高系統(tǒng)的性

6、 多種儲(chǔ)備器共存,接受總線互連三個(gè)方面;基本的硬件 CPU 主存 系統(tǒng)總線 I/O 接口 I/O 接口 磁盤接口 I/O 設(shè)備 I/O 設(shè)備 磁盤 CPU 由運(yùn)算器和把握器組成,運(yùn)算器負(fù)責(zé)實(shí)現(xiàn)數(shù)據(jù)加工,實(shí)現(xiàn)算術(shù)規(guī)律運(yùn)算;把握器 負(fù)責(zé)指揮和把握各部件和諧地工作,實(shí)現(xiàn)程序執(zhí)行過程; 儲(chǔ)備器由主存和輔存 如磁盤 組成,負(fù)責(zé)實(shí)現(xiàn)信息儲(chǔ)備;主存由小容量,快速元器件組 成,存放近期常用程序和數(shù)據(jù); 輔存由大容量, 低價(jià)格元器件組成, 存放全部的程序和數(shù)據(jù); 主存可被 CPU 直接拜望,這樣在提高訪存速度的同時(shí),可降低儲(chǔ)備器總成本; I/O 設(shè)備負(fù)責(zé)實(shí)現(xiàn)信息的輸入和輸出,以及信息的格式變換; 通過總線實(shí)現(xiàn)部

7、件互連的好處是可以實(shí)現(xiàn) CPU 的操作標(biāo)準(zhǔn)化,而操作標(biāo)準(zhǔn)化的詳細(xì)實(shí) 現(xiàn)部件是 I/O 接口,它負(fù)責(zé)緩沖和中轉(zhuǎn)相關(guān)操作; 6. 如某運(yùn)算機(jī)的機(jī)器指令格式如表 1.2 所示, 請(qǐng)寫出求 s=a+b+c 的機(jī)器語言程序, 其中 a,b, c 存放在起始地址為 0000100000的連續(xù) 3 個(gè)主存單元中,而 s 就要求存放到地址為 0000001000 的主存單元中; 解:假設(shè)程序第一條指令存放在第 1000000000 號(hào)儲(chǔ)備單元中,就程序清單如下: 主存單元地址 指令(二進(jìn)制) 注 釋 (二進(jìn)制) 操 作 碼 地 址 碼 0000001000 s 結(jié)果數(shù)據(jù) s 0000100000 a 原始數(shù)據(jù)

8、 a0000100001 b 原始數(shù)據(jù) b0000100010 c 原始數(shù)據(jù) c 1000000000 000001 0000100000 取數(shù) a 到累加器 AC 中 1000000001 000011 0000100001 AC b,結(jié)果存于 AC 中 1000000010 000011 0000100010 AC c,結(jié)果存于 AC 中 1000000011 000010 0000001000 將 AC 中內(nèi)容存到 s 所在主存單元中 1000000100 000100 * 停機(jī),地址碼閑暇(值可任意) 7 畫出基于累加器 CPU 的主機(jī)框圖,說明 6 的機(jī)器語言程序的執(zhí)行過程 盡可能詳

9、 細(xì) ;簡述執(zhí)行過程與馮諾依曼模型的儲(chǔ)備程序原理的關(guān)系; 題 2第 2 頁,共 54 頁學(xué)習(xí)必備 歡迎下載 答:基于累加器 CPU 的的主機(jī)框圖如下: 運(yùn)算器 把握器 把握信號(hào) Cmd 主儲(chǔ)備器 I/O ALU 時(shí)序 形成部件 地 設(shè) 部件 址 譯 儲(chǔ)備 備 AC +“1” ID 碼 陣列 I/O 接口 器 PC IR MARMDR I/O 電路 CPU Addr Data 系統(tǒng)總線 假設(shè) s=a+b+c 程序已被調(diào)入主存,首指令地址已寫入到 PC 中,即 PC=1000000000 ; 程序運(yùn)行啟動(dòng)后,運(yùn)算機(jī)硬件自動(dòng)地,逐條地,按 PC為指令地址實(shí)現(xiàn)取指令,分析指令, 執(zhí)行指令的對(duì)應(yīng)操作,直

10、到執(zhí)行到停機(jī)指令為止;假設(shè) IR 中操作碼記為 OPIR),地址碼記 為 ADIR ,就 s=a+b+c 程序執(zhí)行過程的詳細(xì)操作如下: ( 1)PCMAR,MAR ABus,Read CBus;MARPC1000000000, 取指令開頭 (2)WMF,C PC 1 PC;PC1000000001(下條指令地址) (3)MDRIR ;IR 000001 0000100000 ,取指令完成 ID 對(duì) OPIR 譯碼 ;CU 得知當(dāng)前為取數(shù)指令 (4)ADIR MAR,MARABus,ReadCbus(5)WMFC (6)MDRAC(7)PCMAR,MARABus,ReadCBus(8)WMF,C

11、 PC 1 PC(9)MDRIR ID 對(duì) OPIR 譯碼 (10)ADIR MAR,MAR ABus,Read CBus(11)WMFC (12)MDRAC AC (13)PCMAR,MARABus,ReadCBus(14)WMF,C PC 1PC(15)MDRIR ID 對(duì) OPIR 譯碼 (16)ADIR MAR,MAR ABus,Read CBus(17)WMFC (18)MDRAC AC ;MAR0000100000,執(zhí)行指令開頭 ;ACMDRa, 執(zhí)行指令完成 ;MAR PC 1000000001 , 取指令開頭 ;PC1000000010(下條指令地址) ;IR 000011 0

12、000100001 ,取指令完成 ;CU 得知當(dāng)前為加法指令 ;MAR0000100001,執(zhí)行指令開頭 ;ACab, 執(zhí)行指令完成 ;MAR PC 1000000010 ,取指令開頭 ;PC1000000011(下條指令地址) ;IR 000011 0000100010 ,取指令完成 ;CU 得知當(dāng)前為加法指令 ;MAR0000100010,執(zhí)行指令開頭 (19)PCMAR,MAR ABus,Read CBus;ACab+c, 執(zhí)行指令完成 (20)WMF,C PC 1PC;MARPC1000000011, 取指令開頭 (21)MDR IR ;PC1000000100(下條指令地址) ID

13、對(duì) OPIR 譯碼 ; IR 000010 0000001000 ,取指令完成 ;CU 得知當(dāng)前為存數(shù)指令 (22)ADIR MAR,MAR ABus,Write Cbus ;MAR0000100000,執(zhí)行指令開頭 ;MDRACab+c ,執(zhí)行指令完成 (23)ACMDR,MDR DBus,WMFC (24)PCMAR,MAR ABus,Read Cbus(25)WMF,C PC 1PC( 26)MDR IR ID 對(duì) OPIR 譯碼 ( 27)機(jī)器自動(dòng)停機(jī) ;MARPC1000000100, 取指令開頭 ;PC1000000101(下條指令地址) ;IR 000100 * ,取指令完成 ;

14、CU 得知當(dāng)前為停機(jī)指 令 ;執(zhí)行停機(jī)指令完成 從程序執(zhí)行過程可以看出:由于指令存放在儲(chǔ)備器中,故指令執(zhí)行過程分為取指令 含 3第 3 頁,共 54 頁學(xué)習(xí)必備 歡迎下載 分析指令 ,執(zhí)行指令兩個(gè)階段;由于儲(chǔ)備器同時(shí)只接收一個(gè)拜望操作,故程序執(zhí)行過程是 循環(huán)的指令執(zhí)行過程,循環(huán)變量為 PC 中的指令地址;只要依據(jù)程序規(guī)律次序轉(zhuǎn)變 PC,可 以實(shí)現(xiàn)按程序規(guī)律次序執(zhí)行程序的目標(biāo); 8. 指令和數(shù)據(jù)均存放在儲(chǔ)備器中,運(yùn)算機(jī)如何區(qū)分它們? 答:由于儲(chǔ)備器拜望只使用地址和命令 Read/Write 信號(hào),而指令和數(shù)據(jù)均以二進(jìn)制編 碼形成存放在儲(chǔ)備器中,因此,從儲(chǔ)備器取得的信息本身是無法區(qū)分是指令仍是數(shù)據(jù)

15、的; 運(yùn)算機(jī)只能通過信息的用途來區(qū)分, 即取指令時(shí)取得的是指令, 指令執(zhí)行時(shí)取操作數(shù)或 寫結(jié)果對(duì)應(yīng)的信息是數(shù)據(jù);即運(yùn)算機(jī)通過程序執(zhí)行過程或指令執(zhí)行過程的不同階段來區(qū)分; 9. 在某 CPU 主頻為 400MHz 的運(yùn)算機(jī)上執(zhí)行程序 平均時(shí)鐘周期數(shù)如下表所示; A ,程序 A 中指令類型, 執(zhí)行數(shù)量及 指令類型 指令執(zhí)行數(shù)量 平均時(shí)鐘周期數(shù) / 指令 整數(shù) 數(shù) 45000 1據(jù)傳送 75000 2浮點(diǎn)數(shù) 8000 4條件轉(zhuǎn)移 1500 2求該運(yùn)算機(jī)執(zhí)行程序 A 時(shí)的程序執(zhí)行時(shí)間,平均 CPI 及 MIPS ; 解: CPU 時(shí)鐘周期 TC 1/f 1/400106=2.5ns程序執(zhí)行時(shí)間 TCP

16、U 450001 750002 80004 15002 2.5 0.575ms; 平均 CPI 450001 750002 80004 15002 45000 75000 8000 1500 1.776 時(shí)鐘周期 / 指令 MIPS 4500075000 8000 1500/0.57510-3106 225.2百萬條 /秒 10.馮 諾依曼模型運(yùn)算機(jī)的性能瓶頸有哪些?簡述緩解性能瓶頸莊重性的方法; 答:馮 諾依曼模型運(yùn)算機(jī)的性能瓶頸有 CPU-MEM 瓶頸,指令串行執(zhí)行瓶頸兩個(gè); 對(duì)緩解 CPU-MEM 瓶頸而言,主要目標(biāo)是削減 MEM 拜望推遲,提高 MEM 傳輸帶寬, 常用的方法有接受多種

17、儲(chǔ)備器構(gòu)成層次結(jié)構(gòu)儲(chǔ)備系統(tǒng), 接受多級(jí)總線互連, 接受并行結(jié)構(gòu)存 儲(chǔ)器等; 對(duì)緩解指令串行執(zhí)行瓶頸而言, 主要目標(biāo)是盡可能實(shí)現(xiàn)并行處理, 常用的方法有接受流 水線技術(shù),數(shù)據(jù)流技術(shù),超標(biāo)量技術(shù),超線程技術(shù),多核技術(shù)等; 4第 4 頁,共 54 頁學(xué)習(xí)必備 歡迎下載 第 2 章 1. 說明概念或術(shù)語:進(jìn)制,機(jī)器數(shù),原碼,補(bǔ)碼,移碼,變形補(bǔ)碼, BCD 碼,交換碼, 內(nèi)碼,奇校驗(yàn), CRC ,上溢,下溢,左規(guī),對(duì)階,溢出標(biāo)志,進(jìn)位標(biāo)志,部分積, Booth 算 法,交替加減法除法,戒備位,全加器,并行加法器,行波進(jìn)位,先行進(jìn)位; 答:略 2. 完成以下不同進(jìn)制數(shù)之間的轉(zhuǎn)換 ( 1) 347.625

18、10 2 8 16 ( 2) 9C.E 16 2 8 10 ( 3) 11010011 2 10 8421BCD 解:( 1) 347.625 10 101011011.101 2 533.5 8 15B.A 16 ( 2) 9C.E 16 10011100.1110 2234.7 8 156.875 10 ( 3) 11010011 2 211 10 001000010001 8421BCD 3. 對(duì)以下十進(jìn)制數(shù),分別寫出機(jī)器數(shù)長度為 碼; 8 位(含 1 位符號(hào)位)時(shí)的原碼及補(bǔ) ( 1) 23/128 ( 2) 35/64 ( 3) 43 ( 4) 72 ( 5) 7/32 ( 6) 9/

19、16 ( 7) 91 ( 8) 33 解:( 1) +23/128 原 0.0010111 , +23/128 補(bǔ) 0.0010111 ; (2) -35/64 原 1.1000110 , -35/64 補(bǔ) 1.0111010 ; (3) 43 原 00101011, 43 補(bǔ) 00101011; (4) -72 原 11001000, -72 補(bǔ) 10111000; ( 5) +7/32 原 0.0011100 , +7/32 補(bǔ) 0.0011100 ; (6) -9/16 原 1.1001000 , -9/16 補(bǔ) 1.0111000 ; ( 7)+91 原 01011011, +91 補(bǔ)

20、 01011011; (8) -33 原 10100001, -33 補(bǔ) 11011111; 4. 對(duì)以下機(jī)器數(shù)(含 1 位符號(hào)位),如為原碼時(shí)求補(bǔ)碼及真值,如為補(bǔ)碼或反碼時(shí)求原 碼及真值; ( 1) X 原 100011 ( 2) X 補(bǔ) 0.00011 ( 3) X 反 1.01010 ( 4) X 原 1.10011 ( 5) X 補(bǔ) 101001 ( 6) X 反 101011 解:( 1) X 補(bǔ) 111101, X -00011 -3 ; ( 2) X 原 0.00011 , X +0.00011 +3/32 ; ( 3) X 原 1.10101 , X -0.10101 -21/

21、32 ; ( 4) X 補(bǔ) 1.01101 , X -0.10011 -19/32 ; ( 5) X 原 110111, X -10111 -23/32 ; ( 6) X 原 110100, X -10100 -20/32 ; 5. ( 1)如 X 補(bǔ) 1.01001 ,求 X 補(bǔ) 及 X; ( 2)如 X 補(bǔ) 101001,求 X 補(bǔ) 及 X; 解:( 1) - X 補(bǔ) 0.10111 , X -0.10111 -23/32 ; (2) X 補(bǔ) 010111, X +10111 +23; 6. ( 1)如 X 23 及 42,分別求 8 位長度的 X 移 ; 5第 5 頁,共 54 頁學(xué)習(xí)必

22、備 歡迎下載 ( 2)如 X 移 1100101 及 0011101,分別求 X; 解:( 1) +23 移 10010111, -42 移 01010110; ( 2) X 移 1100101 時(shí)的 X +100101+37, X 移 0011101 時(shí)的 X -100011 -35 ; 7. 如 X 補(bǔ) 0. x 1x2 x 3x 4x 5, Y 補(bǔ) 1y4y3y2y1y0,求以下幾種情形時(shí), x i 或 yi 的取 值; ( 1) X1/4 ( 2) 1/8 X1/16 (3) Y 16 (4) 32Y 8 解:( 1) 1/4 補(bǔ) 0.01000 , 故 x-1 0 x-3 1 x-4

23、 1 x-5 1 x-1 1 時(shí) X 1/4 ; (2) 1/8 補(bǔ) 0.00100 , 1/16 補(bǔ) 0.00010 , 故 x-1 0 x-2 0 x-3 1 x-4 0 x-5 0 x-3 0 x-4 1 x-5 1 時(shí) 1/8 X 1/16 ; (3) -16 補(bǔ) 110000 ,故 y4 0 時(shí) Y 16; ( 4) -8 補(bǔ) 111000 , -32 補(bǔ) 100000 ,故 y4 1 y3 1 y2 0 y1 0 y0 0 y4 y3 1 y4 0 y3 0 y2 1 y1 1y0 1 時(shí) 32 Y 8; 8. 冗余校驗(yàn)的基本原理是什么? 答:數(shù)據(jù)發(fā)送時(shí),除發(fā)送數(shù)據(jù)信息外,仍冗余發(fā)

24、送按某種規(guī)律形成的校驗(yàn)信息;數(shù)據(jù)接 收時(shí), 用所接收數(shù)據(jù)信息形成新的校驗(yàn)信息, 與所接收的校驗(yàn)信息比較, 以此判定是否發(fā)生 了錯(cuò)誤,出錯(cuò)時(shí)報(bào)告出錯(cuò)或自動(dòng)校正錯(cuò)誤; 9. 如接受奇校驗(yàn),下述兩個(gè)數(shù)據(jù)的校驗(yàn)位的值是多少? ( 1) 0101001 ( 2) 0011011 01010011=0; 答:( 1)數(shù)據(jù) 0101001 的奇校驗(yàn)位值為 (2)數(shù)據(jù) 0011011 的奇校驗(yàn)位值為 00110111=1; 10. 如以下奇偶校驗(yàn)碼中只有一個(gè)有錯(cuò)誤,請(qǐng)問接受的是奇 ( 1) 10001101 ( 2) 01101101 ( 3) 10101001 答:上述奇偶校驗(yàn)碼接受的是偶校驗(yàn)編碼方式; /

25、 偶校驗(yàn)?為什么? 由于三個(gè)奇偶校驗(yàn)碼中分別有偶數(shù),奇數(shù),偶數(shù)個(gè) 1”,而只有一個(gè)校驗(yàn)碼有錯(cuò)誤, “ 故第 2 個(gè)奇偶校驗(yàn)碼 01101101 有錯(cuò)誤; 又由于第 2 個(gè)奇偶校驗(yàn)碼有奇數(shù)個(gè)“ 1”,故校驗(yàn)碼接受的是偶校驗(yàn)編碼方式; 11. 設(shè)有 8 位數(shù)據(jù)信息 01101101,請(qǐng)寫出求其海明校驗(yàn)碼的過程; 解:此題中數(shù)據(jù)位數(shù) n 8,數(shù)據(jù)信息 m8 m1 01101101,設(shè)檢驗(yàn)信息位數(shù)為 k 位, ( 1)先求得校驗(yàn)信息位數(shù) k,依據(jù) 2k-1 8 k 的要求,可得 k 4 位; ( 2)列出 n k 84 12 位校驗(yàn)碼中的信息排列: m 8 m7m 6m 5p4m 4m 3m2p3m1

26、 p2p1; ( 3)設(shè)各校驗(yàn)組接受偶校驗(yàn)編碼方式,各校驗(yàn)組校驗(yàn)位的值為: p4 m 8 m 7 m 6 m 5 0 110 0, ; p3 m 8m 4 m 3 m 2 0 110 0, p2 m 7 m 6 m 4 m 3 m 1 1 1111 1, p1 m 7m 5 m 4 m 2 m 1 1 0101 1; ( 4)海明偶校驗(yàn)碼為: 011001100111 ; 12. 如機(jī)器數(shù)表示時(shí)字長為 8 位,寫出以下情形時(shí)它能夠表示的數(shù)的范疇(十進(jìn)制) ( 1)無符號(hào)整數(shù); ( 3)補(bǔ)碼編碼的定點(diǎn)整數(shù); (2)原碼編碼的定點(diǎn)整數(shù); (4)原碼編碼的定點(diǎn)小數(shù); 6學(xué)習(xí)必備 歡迎下載 ( 5)補(bǔ)

27、碼編碼的定點(diǎn)小數(shù); 解:( 1)無符號(hào)整數(shù)的表示范疇是 (2)原碼定點(diǎn)整數(shù)的表示范疇是 00000000 11111111,即 0 255; -1111111 +1111111,即 -127 +127; (3)補(bǔ)碼定點(diǎn)整數(shù)的表示范疇是 -1111111+1 +1111111,即 -128 +127; (4)原碼定點(diǎn)小數(shù)的表示范疇是 (5)補(bǔ)碼定點(diǎn)小數(shù)的表示范疇是 -0.1111111 +0.1111111 ,即 -127/128 +127/128 ; -1.0000000 +0.1111111 ,即 -128/128 +127/128 ; 13. 對(duì)兩個(gè) 8 位字長的定點(diǎn)數(shù) 9BH 及 FFH

28、,分別寫出它們接受原碼編碼,補(bǔ)碼編碼及碼編碼時(shí)的十進(jìn)制整數(shù)的真值,并寫出它們表示為無符號(hào)數(shù)時(shí)的十進(jìn)制真值; 移 解:機(jī)器碼 9BH FFH 原碼編碼的真值 整數(shù) -27 -127 補(bǔ)碼編碼的真值 整數(shù) -101 -1 移碼編碼的真值 整數(shù) +27 +127 無符號(hào)編碼的真值 整數(shù) 155 255 14. 如浮點(diǎn)數(shù)表示格式(從高位到低位)為:階碼 6 位(含 1 位階符),尾數(shù) 10 位(含 1 位數(shù)符),請(qǐng)寫出 51/128 , 27/1024 , 7.375 , 86.5 所對(duì)應(yīng)的機(jī)器數(shù); ( 1)階碼和尾數(shù)均為原碼; ( 2)階碼和尾數(shù)均為補(bǔ)碼; ( 3)階碼為移碼,尾數(shù)為補(bǔ)碼; 解:(

29、1)階碼和尾數(shù)均為原碼時(shí), 51/128 浮 =0.0110011 浮=100001 0110011000 或 000000 0011001100 或 , -27/1024 浮 =-0.0000011011 浮 =100101 1110110000 或 100001 1000011011 或 , 7.375 浮 =111.011 浮 =000011 0111011000 或 000110 0000111011 或 , -86.5 浮 =-1010110.1 浮=000111 1101011010 或 001000 1010101101 或 ; ( 2)階碼和尾數(shù)均為補(bǔ)碼時(shí), 51/128 浮

30、=111111 0110011000 或 000000 0011001100 或 , -27/1024 浮 =111011 1001010000 或 111111 1111100101 或 , 7.375 浮 =000011 0111011000 或 000110 0000111011 或 , -86.5 浮 =000111 1010100110 或 001000 1101010011 或 ; ( 3)階碼為移碼,尾數(shù)為補(bǔ)碼時(shí), 51/128 浮 =011111 0110011000 或 100000 0011001100 或 , -27/1024 浮 =011011 1001010000 或

31、 011111 1111100101 或 , 7.375 浮 =100011 0111011000 或 100110 0000111011 或 , -86.5 浮 =100111 1010100110 或 101000 1101010011 或 ; 15. 如浮點(diǎn)數(shù)表示格式接受 和尾數(shù)均接受補(bǔ)碼編碼; 6 位階碼(含 1 位階符), 10 位尾數(shù)(含 1 位數(shù)符),階碼 ( 1)寫出浮點(diǎn)數(shù)能表示的正數(shù)及負(fù)數(shù)的范疇; ( 2)寫出規(guī)格化浮點(diǎn)數(shù)能表示的正數(shù)及負(fù)數(shù)的范疇; 解:( 1)浮點(diǎn)數(shù)正數(shù)區(qū)的范疇為: -9 -32 +2 2 +1-2 -9 +31 2 , 浮點(diǎn)數(shù)負(fù)數(shù)區(qū)的范疇為: +31 -9

32、 -32 -1 2 -2 2 ; (2)規(guī)格化浮點(diǎn)數(shù)正數(shù)區(qū)的范疇為: 規(guī)格化浮點(diǎn)數(shù)負(fù)數(shù)區(qū)的范疇為: -1 -32 -9 +31 +2 2 +1-2 2 , -1 2 -2 -1 +2 2 ; 16. 如浮點(diǎn)數(shù)表示格式為: 6 位階碼(含 1 位階符),10 位尾數(shù)(含 1 位數(shù)符);分別寫 7第 7 頁,共 54 頁學(xué)習(xí)必備 歡迎下載 出階碼和尾數(shù)均為原碼及均為補(bǔ)碼時(shí),以下數(shù)值為規(guī)格化數(shù)時(shí)的機(jī)器碼; ( 1) 51/128 ( 2) 51/128 ( 3) 1/64 100001 0110011000 , 解:( 1)階碼和尾數(shù)均為原碼時(shí),規(guī)格化數(shù)的機(jī)器碼為 階碼和尾數(shù)均為補(bǔ)碼時(shí),規(guī)格化數(shù)的機(jī)

33、器碼為 111111 0110011000 ; (2)階碼和尾數(shù)均為原碼時(shí),規(guī)格化數(shù)的機(jī)器碼為 100001 1110011000 , 階碼和尾數(shù)均為補(bǔ)碼時(shí),規(guī)格化數(shù)的機(jī)器碼為 111111 1001101000 ; (3)階碼和尾數(shù)均為原碼時(shí),規(guī)格化數(shù)的機(jī)器碼為 100101 1100000000 , 階碼和尾數(shù)均為補(bǔ)碼時(shí),規(guī)格化數(shù)的機(jī)器碼為 ; 111010 1000000000 17. 如機(jī)器中單精度浮點(diǎn)數(shù)接受 IEEE 754 標(biāo)準(zhǔn)表示; ( 1)對(duì)機(jī)器碼為 99D00000 16 及 59800000 16 的浮點(diǎn)數(shù),請(qǐng)寫出它們的真值; ( 2)請(qǐng)寫出 51/128 的機(jī)器碼; 解:

34、( 1)由于機(jī)器碼 99D00000 16 1 00110011 10100000000000000000000B , 故浮點(diǎn)數(shù)的符號(hào)碼 S 1,階碼 E 00110011,尾數(shù)碼 M 10100000000000000000000 , 因 1E 255,故機(jī)器碼表示的為規(guī)格化浮點(diǎn)數(shù), 1 51-127 -76 99D00000 16 的真值 N-1 2 1.10100000000000000000000 -0.1101 2 ; 由于機(jī)器碼 59800000 16=0 10110011 00000000000000000000000B , 故浮點(diǎn)數(shù)的符號(hào)碼 S 0,階碼 E 10110011,

35、尾數(shù)碼 M 00000000000000000000000 , 因 1E 255,故機(jī)器碼表示的為規(guī)格化浮點(diǎn)數(shù), 0 179-127 +53 59800000 16 的真值 N-1 2 1. 00000000000000000000000 +0.1 2 ; 1 125-127 ( 2) -51/128 10 -0.0110011 2 -1 1.10011 2 , 就用 IEEE754 標(biāo)準(zhǔn)表示時(shí),符號(hào)碼 S1,階碼 E 125,尾數(shù) M 0.10011 , 故 51/128 的單精度浮點(diǎn)數(shù)機(jī)器碼為 1 01111101 10011000000000000000000 ; 18. 字符在運(yùn)算機(jī)中

36、的表示可看作無符號(hào)定點(diǎn)整數(shù), 對(duì)字符的操作有比較是否相同, 判 斷前后次序等關(guān)系運(yùn)算,需要哪些支持才能用算術(shù)運(yùn)算和規(guī)律運(yùn)算實(shí)現(xiàn)關(guān)系運(yùn)算? 答:由于字符數(shù)據(jù)可看作無符號(hào)定點(diǎn)整數(shù), 數(shù)關(guān)系運(yùn)算的結(jié)果表示; 故字符操作的結(jié)果可以用兩個(gè)無符號(hào)定點(diǎn)整 設(shè) NA 及 NB 為無符號(hào)定點(diǎn)整NC 為有符號(hào)定點(diǎn)整數(shù),NANB NC, 數(shù), 就當(dāng) 且 NA NB 時(shí), NC 的符號(hào)為正, 當(dāng) NA NB 時(shí), NC 的符號(hào)為負(fù), NA當(dāng) NB 時(shí), NC 的值為零, 當(dāng) NA NB 時(shí), NC 的符號(hào)為正,或 NC 的值為當(dāng) 者 NA NB 時(shí), NC 的符號(hào)為負(fù),零, NC 的值為即對(duì)算術(shù)運(yùn)算 減法 結(jié)果的符號(hào)

37、, 是否為零進(jìn)行規(guī)律運(yùn)算 零; 到關(guān)系運(yùn)算的結(jié)果; 規(guī)律與, 規(guī)律或 ,就可以得 因此,運(yùn)算器中設(shè)置“結(jié)果符號(hào)是否為負(fù)”及“結(jié)果是否為零”兩個(gè)標(biāo)志位,并且有對(duì) 這 2 個(gè)硬件標(biāo)志位的 5 種規(guī)律操作硬件時(shí),就可以用算術(shù)運(yùn)算和規(guī)律運(yùn)算實(shí)現(xiàn)關(guān)系運(yùn)算了; 19. 各種應(yīng)用數(shù)據(jù)在運(yùn)算機(jī)中一般表示成哪幾種數(shù)據(jù)類型?對(duì)某個(gè)機(jī)器數(shù), 如何才能夠 知道它的數(shù)據(jù)類型? 答:運(yùn)算機(jī)中的應(yīng)用數(shù)據(jù)一般有數(shù)值數(shù)據(jù)和非數(shù)據(jù)數(shù)據(jù)兩大類型, 數(shù)值數(shù)據(jù)的運(yùn)算均為 算術(shù)運(yùn)算, 數(shù)據(jù)可表示為定點(diǎn)數(shù)或浮點(diǎn)數(shù)兩種數(shù)據(jù)類型; 非數(shù)值數(shù)據(jù)的運(yùn)算比較復(fù)雜, 可能 為規(guī)律運(yùn)算,或算術(shù)運(yùn)算或關(guān)系運(yùn)算,數(shù)據(jù)可表示為規(guī)律數(shù), 或定點(diǎn)數(shù)或浮點(diǎn)數(shù); 故

38、應(yīng)用數(shù) 據(jù)在運(yùn)算機(jī)中一般表示成定點(diǎn)數(shù),浮點(diǎn)數(shù)及規(guī)律數(shù)三種數(shù)據(jù)類型; 由于運(yùn)算機(jī)中均用二進(jìn)制表示數(shù)據(jù)和指令,只能通過商定方式隱含表示符號(hào)及小數(shù)點(diǎn) 8第 8 頁,共 54 頁學(xué)習(xí)必備 歡迎下載 等;而這種商定只在數(shù)據(jù)操作時(shí)才有實(shí)際意義,因此,對(duì)于某機(jī)器數(shù), 從數(shù)據(jù)本身無法知道 它的數(shù)據(jù)類型,只能通過對(duì)其操作的指令來說明這個(gè)數(shù)的數(shù)據(jù)類型;如對(duì) 32 位機(jī)器數(shù) 99D00000H,當(dāng)它為浮點(diǎn)運(yùn)算指令的操作數(shù)時(shí),它是浮點(diǎn)數(shù);當(dāng)它為定點(diǎn)運(yùn)算指令的操作數(shù) 時(shí),它是定點(diǎn)數(shù); 20. 如 8 位機(jī)器碼為 0010100,請(qǐng)問規(guī)律左移多少次后溢出?規(guī)律右移多少次后再左移 同樣多次數(shù)時(shí)機(jī)器碼開頭不同?請(qǐng)分別說明緣由

39、; 答:規(guī)律左移 3 位后溢出,由于左起第一個(gè)“ 1”被移丟,故溢出; 規(guī)律右移 3 位后再規(guī)律左移 3 位時(shí)機(jī)器碼與原先不同,由于機(jī)器碼 0010100 0000010 0010000,右起第一個(gè)“ 1”被移丟,缺失精度后再左移 3 位機(jī)器碼發(fā)生變化; 21. 設(shè)機(jī)器數(shù)字長為 8 位 含 1 位符號(hào) ,分別寫出對(duì)以下機(jī)器數(shù)算術(shù)左移 1 位, 2 位, 算術(shù)右移 1 位, 2 位的結(jié)果,并說明結(jié)果是否正確; X 原 0.0011010 ; X 補(bǔ) 1.1101000 ; X 反 1.0101111 ; X 原 1.0011010 ; X 補(bǔ) 1.1001101 ; X 反 1.1001110

40、解:結(jié)果見下表,其中表示結(jié)果正確,表示結(jié)果溢出,表示結(jié)果精度受缺失; 算術(shù)左移 1 位 算術(shù)左移 2 位 12算術(shù)右移 1 位 2算術(shù)右移 2 位 X 原 0.0011010 0.0110100 0.1101000 0.0001101 0.0000110 X 原 1.00110101.0110100 1.1101000 1.0001101 1.0000110 X 補(bǔ) 1.11010001.1010000 1.0100000 1.1110100 1.1111010 X 補(bǔ) 1.10011011.0011010 1.0110100 1.1100110 1.1110011 X 反 1.0101111

41、 1.1011111 1.0111111 1.1010111 1.1101011 X 反 1.1001110 1.0011101 1.0111011 1.1100111 1.1110011 22. 如 X 補(bǔ) xSxn-1 x0,請(qǐng)推導(dǎo) 2 X 補(bǔ) 2 X 補(bǔ) 及 X 補(bǔ) xS*2 n-1+ 1 X 補(bǔ) ; 解: 1 因 2 X 補(bǔ) 2n 2X 2n 2n2X 2 2n X2 X 補(bǔ), 故 2 X 補(bǔ) 2 X 補(bǔ) ; 2 當(dāng) X0 時(shí), xS 0, X 補(bǔ) 2n X 0 xn-1 x0 ,X +xn-1 x0, 就 1 2 X補(bǔ) 2 n 1 2X 2n xn-1 x0/2 0 xn-1 x0/

42、2 1 2 X 補(bǔ); 0* 2n 1 1 2 X補(bǔ) x S*2 n 1+ 1 X 2 補(bǔ) ; 當(dāng) X0 時(shí), xS 1, X X補(bǔ) 2n 1xn-1 x0 2 n 1 2n 1 2n 1xn-1 x0, 就 1 2 X補(bǔ) 2n 2n 1 xn -1 x0/2 2n 1 2n 1 2 n 1 xn-1 x0/2 2 2n 1 1xn-1 x0/2 2 n 1 1 2 X 補(bǔ) xS*2 n 1+ n 1 2n 1 xn -1 x0/2 1 X 補(bǔ) ; 2 故對(duì)任意 X,均有 1 X 補(bǔ) xS*2 n-1+ 1 2 X 補(bǔ); 23. 如機(jī)器數(shù)字長為 8 位 含 1 位符號(hào) ,請(qǐng)用補(bǔ)碼運(yùn)算規(guī)章運(yùn)算以下

43、各題; ( 1) A 9/64 ,B -13/32 ,求 AB; ( 2) A 19/32 , B -18/128 ,求 A B; ( 3) A -87 , B 13,求 A B; ( 4) A 115, B -24 ,求 A B 解:( 1)因 A +0.0010010,B -0.0110100,就 A 補(bǔ) 0.0010010, B 補(bǔ) 1.1001100, A B 補(bǔ) A 補(bǔ) B 補(bǔ) 0.0010010 1.1001100 1.1011110 , 就 A B -0.0100010 -17/64 ; 9第 9 頁,共 54 頁學(xué)習(xí)必備 歡迎下載 ( 2)因 A +0.1001100 , B

44、-0.0010010 ,就 A 補(bǔ) 0.1001100 , - B 補(bǔ) 0.0010010 , AB 補(bǔ) A 補(bǔ) - B 補(bǔ) 0.1001100 0.0010010 0.1011110 , 就 A B0.1011110 47/64 ; ( 3)因 A -1010111 , B +0001101,就 A 補(bǔ) 1 0101001 , - B 補(bǔ) 1 1110011 , AB 補(bǔ) A 補(bǔ) - B 補(bǔ) 1 0101001 1 1110011 1 0011100 , 就 A B-100 ; ( 4)因 A +1110011, B -0011000 ,就 A 補(bǔ) 0 1110011 , B 補(bǔ) 1 110

45、1000 , AB 補(bǔ) A 補(bǔ) B 補(bǔ) 0 1110011 1 1101000 0 1011011 , 就 A B+91; 24. 如機(jī)器數(shù)字長為 6 位 含 1 位符號(hào) ,請(qǐng)用補(bǔ)碼運(yùn)算 A B,并判定結(jié)果是否溢出; ( 1)A0.11011 ,B 0.00011 ; ( 2)A0.11011 , B -0.10101 ; ( 3)A-0.10111 , B-0.01011 ; ( 4)A0.10011 , B 0.01111 解:( 1)由題意 A 補(bǔ) 0.11011 , B 補(bǔ) 0.00011 , A B 補(bǔ) A 補(bǔ) B 補(bǔ) 0.11011 0.00011 0.11110 , A B+0.

46、11110 , A B 補(bǔ) 的溢出標(biāo)志 OVR 0 00 0 0,故 A B 結(jié)果不溢出; ( 2)由題意 A 補(bǔ) 0.11011 , B 補(bǔ) 1.01011 , A B 補(bǔ) A 補(bǔ) B 補(bǔ) 0.11011 1.01011 0.00110 , A B +0.00110 , A B 補(bǔ)的溢出標(biāo)志 OVR 001 0 0,故 A B 結(jié)果不溢出; ( 3)由題意 A 補(bǔ) 1.01001 , B 補(bǔ) 1.10101 , A B 補(bǔ) A 補(bǔ) B 補(bǔ) 1.01001 1.10101 0.11110 , A B +0.11110 , A B 補(bǔ)的溢出標(biāo)志 OVR 101 0 1,故 A B 結(jié)果溢出; (

47、 4)由題意 A 補(bǔ) 0.10011 , B 補(bǔ) 0.01111 , A B 補(bǔ) A 補(bǔ) B 補(bǔ) 0.10011 0.01111 1.00010 , A B -0.11110 , A B 補(bǔ)的溢出標(biāo)志 OVR 0 10 1 1,故 A B 結(jié)果溢出; 25. 如機(jī)器數(shù)字長為 7 位 含 2 位符號(hào) ,請(qǐng)用變形補(bǔ)碼運(yùn)算 AB,并判定結(jié)果是否溢 出; ( 1)A0.11011 ,B -0.11111 ; ( 2)A 0.10111 , B-0.01010 解:( 1)由題意 A 變補(bǔ) 00.11011, B 變補(bǔ) 11.00001, - B 變補(bǔ) 00.11111, 就 A B 變補(bǔ) A 變補(bǔ) -

48、 B 變補(bǔ) 00.11011 00.11111 01.11010 , A B-0.00110 , A B 變補(bǔ) 的溢出標(biāo)志 OVR 01 1,故 A B 結(jié)果溢出; ( 2)由題意 A 變補(bǔ) 00.10111, B 變補(bǔ) 11.10110, - B 變補(bǔ) 00.01010, 就 A B 變補(bǔ) A 變補(bǔ) - B 變補(bǔ) 00.10111 00.01010 01.00001 , AB -0.00001 , A B 變補(bǔ) 的溢出標(biāo)志 OVR 01 1,故 A B 結(jié)果溢出; 26. 對(duì)以下 A 和 B,請(qǐng)用原碼一位乘法求 AB; ( 1)A0.110111 , B-0.101110 ; ( 2)A 1

49、9, B 35 解:( 1)由題意 A 原 0.110111 , B 原 1.101110 ,| A| 0.110111 ,| B| 0.101110 , AB 原 的符號(hào)位為 0 1 1, 所示: 按原碼一位乘法規(guī)章, | A| | B| 需進(jìn)行 6 次判定 - 加法 - 移位操作,其過程如下表 循環(huán)次數(shù) 部分積高位 學(xué)習(xí)必備 歡迎下載 說明 乘數(shù) 及部分積低位 60.000000 1 0 1 1 1 0 初始部分積 P0 0.000000 0.000000 乘數(shù)最低位為 0,應(yīng) 00.000000 50.000000 0 1 0 1 1 1 部分積及乘數(shù)同時(shí)右移 1 位 0.110111

50、乘數(shù)最低位為 1,應(yīng) | A| 0.110111 41 0 1 0 1 1 部分積及乘數(shù)同時(shí)右移 1 位 0.011011 3 0.110111 0 1 0 1 0 1 乘數(shù)最低位為 1,應(yīng) | A| 1.010010 部分積及乘數(shù)同時(shí)右移 1 位 0.101001 0.110111 乘數(shù)最低位為 1,應(yīng) | A| 1.100000 20.110000 0 0 1 0 1 0 部分積及乘數(shù)同時(shí)右移 1 位 0.000000 乘數(shù)最低位為 0,應(yīng) 00.110000 10.011000 0 0 0 1 0 1 部分積及乘數(shù)同時(shí)右移 1 位 0.110111 乘數(shù)最低位為 1,應(yīng) | A| 1.0

51、01111 00.100111 1 0 0 0 1 0 部分積及乘數(shù)同時(shí)右移 1 位 即 | A| | B| 0.100111100010 ,故 A B 原 1.100111100010 ; ( 2)由題意, A 原 0010011 , B 原 0100011, | A| 010011, | B| 100011, AB 原 的符號(hào)位為 0 0 0, | A| | B| 需進(jìn)行 6 次判定 - 加法 - 移位操作,其過程如下表所示: 循環(huán)次數(shù) 部分積高位 乘數(shù) 說明 及部分積低位 6000000 1 0 0 0 1 1 初始部分積 P0 000000 010011 1 1 0 0 0 1 乘數(shù)最

52、低位為 1,應(yīng) | A| 0 010011 6 位加法, 0 為加法器的進(jìn)位 5001001 部分積及乘數(shù)同時(shí)右移 1 位 4 010011 0 1 1 0 0 0 乘數(shù)最低位為 1,應(yīng) | A| 0 011100 部分積及乘數(shù)同時(shí)右移 1 位 001110 000000 乘數(shù)最低位為 0,應(yīng) 0 0 001110 30 0 1 1 0 0 部分積及乘數(shù)同時(shí)右移 1 位 000111 000000 乘數(shù)最低位為 0,應(yīng) 0 20 000111 1 0 0 1 1 0 部分積及乘數(shù)同時(shí)右移 1 位 000011 000000 乘數(shù)最低位為 0,應(yīng) 0 10 000011 1 1 0 0 1 1

53、部分積及乘數(shù)同時(shí)右移 1 位 000001 010011 乘數(shù)最低位為 1,應(yīng) | A| 0 010100 0001010 0 1 1 0 0 1 部分積及乘數(shù)同時(shí)右移 1 位 即 | A| | B| 001010011001,故 AB 原 0 001010011001 ; 27. 如 A0.011011 , B-0.100110 ,請(qǐng)用原碼兩位乘法求 AB; 解:由題意 A 原 0.011011 , B 原 1.100110 , | B| 0.100110 , | A| 補(bǔ) 0.011011 ,-| A| 補(bǔ) 1.100101 , 2| A| 補(bǔ) 0.110110 , A B 原 的的符號(hào)位

54、為 0 1 1, 11 第 11 頁,共 54 頁學(xué)習(xí)必備 歡迎下載 由于 | B| 為 6 位 偶數(shù)個(gè) ,乘法運(yùn)算時(shí)需在 | B| 的最高位前增加兩個(gè) 0,以處理乘法運(yùn)算 終止時(shí)可能的 T 1 的情形,故共循環(huán) 4 次,前 3 次進(jìn)行判定 - 加法 - 移位操作,最終 1 次進(jìn) 行判定 - 加法操作,運(yùn)算過程如下表所示: 循環(huán) 部分積高位 乘數(shù) T 說明 次數(shù) 及部分積低位 4000.000000 0 0 1 0 0 1 1 0 0初始部分積 P0 000, T 0 3000.110110 1 0 0 0 1 0 0 1 b1b0T100,應(yīng) 2| A| 補(bǔ), T 0000.110110 0

55、算術(shù)右移 2 位 最高符號(hào)位為真符號(hào)位 000.001101 2000.011011 0 0 1 0 0 0 1 0 b1b0T010,應(yīng) | A| 補(bǔ) ,T0000.101000 0算術(shù)右移 2 位 000.001010 1000.110110 0 0 0 0 1 0 0 0 b1b0T100,應(yīng) 2| A| 補(bǔ), T 0001.000000 0算術(shù)右移 2 位 000.010000 000.000000 b1b0T000,應(yīng) 00000.010000 0 0 0 0 1 0 不移位,乘積數(shù)值部分為 0.0100000000010 即 | A| | B| 0.010000000010 ,故

56、A B 原 1.010000000010 ; 28. 對(duì)以下 A 和 B,請(qǐng)用補(bǔ)碼一位乘法 ( 1)A0.110111 , B-0.101010 ; 解:( 1)由題意 A 補(bǔ) 0.110111 , B Booth 算法 求 A B; ( 2)A 19, B 35 補(bǔ) 1.010110 , - A 補(bǔ) 1.001001 , 因連同符號(hào)一起運(yùn)算, 故共循環(huán) 7 次,進(jìn)行判定 - 加法 - 移位操作 最終 1 次不移位 ;運(yùn) 算過程如下表所示: 循環(huán) 部分積 乘數(shù) 附加位 操作說明 70.000000 1 0 1 0 1 1 0 0初始 P0 補(bǔ) 0, b-n 00.000000 b-n-1b-n

57、 00,部分積 0 0.000000 60.000000 0 1 0 1 0 1 1 0部分積和乘數(shù)同時(shí)算術(shù)右移 1 位 1.001001 b-n-1b-n 10,部分積 - A 補(bǔ) 1.001001 51.100100 1 0 1 0 1 0 1 1部分積和乘數(shù)同時(shí)算術(shù)右移 1 位 0.000000 b-n-1b-n 11,部分積 0 1.100100 40 1 0 1 0 1 0 1部分積和乘數(shù)同時(shí)算術(shù)右移 1 位 1.110010 0.110111 b-n-1b-n 01,部分積 A 補(bǔ) 0.101001 30.010100 1 0 1 0 1 0 1 0部分積和乘數(shù)同時(shí)算術(shù)右移 1 位

58、 1.001001 b-n-1b-n 10,部分積 - A 補(bǔ) 1.011101 21.101110 1 1 0 1 0 1 0 1部分積和乘數(shù)同時(shí)算術(shù)右移 1 位 0.110111 b-n-1b-n 01,部分積 A 補(bǔ) 0.100101 10.010010 1 1 1 0 1 0 1 0部分積和乘數(shù)同時(shí)算術(shù)右移 1 位 1.001001 b-n-1b-n 10,部分積 - A 補(bǔ) 1.011011 01.011011 1 1 1 0 1 0 最終一次不右移 故 AB 補(bǔ) 1.011011111010 ; ( 2)由題意 A 補(bǔ) 0010011, B 補(bǔ) 0100011, - A 補(bǔ) 110

59、1101 , 共循環(huán) 7 次 , 進(jìn)行判定 - 加法 - 移位操作 最終 1 次不移位 ;運(yùn)算過程如下表所示: 12 第 12 頁,共 54 頁循環(huán) 部分積 學(xué)習(xí)必備 歡迎下載 操作說明 乘數(shù) 附加位 70000000 0 1 0 0 0 1 1 0初始 P0 補(bǔ) 0,b-n0 1101101 b-n-1b-n 10,部分積 - A 補(bǔ) 1101101 61110110 1 0 1 0 0 0 1 1部分積和乘數(shù)同時(shí)算術(shù)右移 1 位 5 0000000 0 1 0 1 0 0 0 1b-n-1b-n 11,部分積 0 1110110 部分積和乘數(shù)同時(shí)算術(shù)右移 1 位 1111011 4 001

60、0011 0 0 1 0 1 0 0 0b-n-1b-n 01,部分積 A 補(bǔ) 0001110 部分積和乘數(shù)同時(shí)算術(shù)右移 1 位 0000111 0000000 b-n-1b-n 00,部分積 0 0000111 30000011 1 0 0 1 0 1 0 0部分積和乘數(shù)同時(shí)算術(shù)右移 1 位 0000000 b-n-1b-n 00,部分積 0 0000011 20000001 1 1 0 0 1 0 1 0部分積和乘數(shù)同時(shí)算術(shù)右移 1 位 1101101 b-n-1b-n 10,部分積 - A 補(bǔ) 1101110 11110111 0 1 1 0 0 1 0 1部分積和乘數(shù)同時(shí)算術(shù)右移 1

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論