單片機(jī)工程實(shí)踐題選_第1頁(yè)
單片機(jī)工程實(shí)踐題選_第2頁(yè)
單片機(jī)工程實(shí)踐題選_第3頁(yè)
單片機(jī)工程實(shí)踐題選_第4頁(yè)
單片機(jī)工程實(shí)踐題選_第5頁(yè)
已閱讀5頁(yè),還剩53頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、Evaluation Warning: The document was created with Spire.Doc for .NET.單片機(jī)工程實(shí)踐題選1. 十字路口交通燈的模擬控制可模擬十字字路口交交通燈控控制,時(shí)時(shí)間顯示示,緊急急狀況控控制。要求:東西西方向、南南北方向向時(shí)間顯顯示由LLED數(shù)數(shù)碼管顯顯示,顯顯示時(shí)間間從09,間間隔時(shí)間間為1秒秒,由東東西方向向和南北北方向時(shí)時(shí)間的低低位顯示示。發(fā)生生緊急情情況時(shí),按按下緊急急按鈕,P3.2為東西方向緊急按鈕輸入,P3.3為南北方向緊急按鈕輸入,利用中斷程序?qū)崿F(xiàn)東西方向和南北方向燈的互換。電路具體接接法如下下:其中P1.0控制制東西方方

2、向的紅紅燈,PP1.11控制南南北方向向的紅燈燈,P11.2控控制東西西方向的的綠燈,P1.3控制制南北方方向的綠綠燈,PP1.44控制東東西方向向的黃燈燈,P11.5控控制南北北方向的的黃燈,P1.6控制制東西方方向的車車的顯示示,P11.7控控制南北北方向的的車的顯顯示。P3.2為為東西方方向緊急急按鈕輸輸入,PP3.33為南北北方向緊緊急按鈕鈕輸入。時(shí)間顯示部部分有系系統(tǒng)功能能板上881555的口控控制,881555的PAA4口控控制東西西方向時(shí)時(shí)間的低低位顯示示, 881555的PAA5口控控制東西西方向時(shí)時(shí)間的高高位顯示示, 881555的PAA6口控控制南北北方向時(shí)時(shí)間的低低位顯示

3、示, 881555的PAA7口控控制南北北方向時(shí)時(shí)間的高高位顯示示,81155的的PB00PBB6為時(shí)時(shí)間的段段代碼。881555的控制制口地址址為21100HH,PAA口地址址為21101HH,PBB口地址址為21102HH。2. 生產(chǎn)產(chǎn)車間流流水線自自動(dòng)控制制模擬可模擬生產(chǎn)產(chǎn)車間流流水線自自動(dòng)控制制及產(chǎn)生生故障后后自動(dòng)報(bào)報(bào)警停止止流水線線工作,只要按按下任一一按鈕就就產(chǎn)生報(bào)報(bào)警信號(hào)號(hào),排除除后繼續(xù)續(xù)工作。要求:按照照一定工工序,利利用發(fā)光光二極管管的依次次點(diǎn)亮,實(shí)實(shí)現(xiàn)生產(chǎn)產(chǎn)車間流流水線自自動(dòng)控制制,可用用按鈕模模擬發(fā)生生緊急故故障,通通過中斷斷程序處處理緊急急故障,能能顯示出出出現(xiàn)故故障車

4、間間流水線線的位置置。電路具體接接法如下下:P1.0P1.7分別別控制從從準(zhǔn)備到到入庫(kù)的的8道工工序,PP3.33為報(bào)警警的輸入入端,只只要按下下任一按按鈕就會(huì)會(huì)產(chǎn)生報(bào)報(bào)警信號(hào)號(hào)。3. 步進(jìn)進(jìn)電機(jī)控控制與驅(qū)驅(qū)動(dòng)要求:掌握握步進(jìn)電電機(jī)的工工作原理理及控制制方法。能能實(shí)現(xiàn)對(duì)對(duì)步進(jìn)電電機(jī)正反反轉(zhuǎn)控制制。電路具體接接法如下下:通過P1.0PP1.33控制步步進(jìn)電機(jī)機(jī)BABD四四個(gè)相。電電路中已已有驅(qū)動(dòng)動(dòng)。4. 直流流電機(jī)的的控制與與驅(qū)動(dòng)及及速度的的測(cè)量要求:通過過編程實(shí)實(shí)現(xiàn)對(duì)直直流電機(jī)機(jī)的啟動(dòng)動(dòng)、停止止、正反反轉(zhuǎn)控制制、調(diào)速速等性能能,調(diào)速速范圍為為100010000轉(zhuǎn)/分鐘。電路具體接接法如下下:通

5、過系統(tǒng)功功能板的的D/AA08332輸出出端已連連接至DDJ1來(lái)來(lái)控制電電機(jī)轉(zhuǎn)速速,測(cè)速速的反饋饋為DJJ_FOO已和PP3.44相接。DD/A008322的地址址為60000HH5. 繼電電器控制制要求:編程程實(shí)現(xiàn)繼繼電器的的閉合和和斷開,并并由發(fā)光光二極管管進(jìn)行顯顯示。電路具體接接法如下下:本電路采用用JDCC3F5VVDC繼繼電器,輸輸出可控控制2220V/2A、1125VV/122A交流流負(fù)載。本本系統(tǒng)中中用指示示燈作為為負(fù)載,繼繼電器的的控制輸輸入為JJIN已已連接至至P1.7,經(jīng)經(jīng)74007驅(qū)動(dòng)動(dòng)后輸出出到繼電電器線圈圈。低電電平時(shí),繼繼電器動(dòng)動(dòng)作。繼繼電器的的常開、常常閉觸點(diǎn)點(diǎn)輸

6、出接接二個(gè)指指示燈用用于指示示繼電器器觸點(diǎn)狀狀態(tài)。128664點(diǎn)陣陣漢字LLCD應(yīng)應(yīng)用電路路要求:編程程顯示自自己的姓姓名、班班級(jí)、學(xué)學(xué)號(hào)。電路具體接接法如下下:128664點(diǎn)陣陣漢字LLCD可可以顯示示各種圖圖形、曲曲線、漢漢字,其其使用非非常廣泛泛,它與與單片機(jī)機(jī)CPUU的接口口采用88位并行行總線,在在使用本本液晶顯顯示模塊塊時(shí),先先開啟電電源,指指示燈亮亮?xí)r,電電路才可可以工作作,電位位器W11用于調(diào)調(diào)節(jié)顯示示屏的亮亮度。譯碼地址已已連接為為30000H3FFFFH16166矩陣LLED應(yīng)應(yīng)用電路路要求:編程程循環(huán)顯顯示“啟東計(jì)計(jì)算機(jī)有有限公司司”電路具體接接法如下下:16166矩陣L

7、LED正正好可以以顯示一一個(gè)中文文字,LLED的的控制與與驅(qū)動(dòng)用用可編程程并行接接口芯片片82555和774077以及88D鎖存存器744LS2273來(lái)來(lái)實(shí)現(xiàn)。774LSS2733的片選選信號(hào)和和82555選通通信號(hào)由由插孔2273CCS和882555CS引引出,系系統(tǒng)已連連接。882555的地址址為30000HH3FFFFHH,744LS2273的的地址為為6000066FFFFH。 8、直直流電機(jī)機(jī)加速控控制程序序(晶振振頻率112MHHZ)實(shí)實(shí)現(xiàn)以下下功能;(1)在55秒鐘內(nèi)內(nèi),使直直流電機(jī)機(jī)從零速速升到額額定轉(zhuǎn)速速(2)利用用T1定定時(shí),選選擇工作作方式11用中斷斷方式延延時(shí)其中:D/

8、A轉(zhuǎn)換換器地址址為60000HH,測(cè)速速反饋單單元接PP3,44口9、直流電電機(jī)減速速程序設(shè)設(shè)計(jì)設(shè)計(jì)直流電電機(jī)減速速控制程程序(晶晶振頻率率為122MHZZ)實(shí)現(xiàn)現(xiàn)以下功功能:(1) 在3秒秒鐘內(nèi),使使直流電電機(jī)從額額定轉(zhuǎn)速速降到1120轉(zhuǎn)轉(zhuǎn)/分(2) 延時(shí)方方式利用用軟件延延時(shí)實(shí)現(xiàn)現(xiàn)其中;D/A轉(zhuǎn)換換器地址址為60000HH,測(cè)速速反饋單單元接PP3、44口10、設(shè)計(jì)計(jì)方波波波形,實(shí)實(shí)現(xiàn)以下下功能;(1) 通過數(shù)數(shù)字示波波器顯示示波形(2) 方波波波形脈寬寬為400MS(3) 利用定定時(shí)器TT0方式式1,中中斷方式式實(shí)現(xiàn)其中D/AA轉(zhuǎn)換器器地址為為60000H11、設(shè)計(jì)計(jì)步進(jìn)電電機(jī)轉(zhuǎn)速速控制

9、程程序(晶晶振頻率率為122MHZZ)實(shí)現(xiàn)現(xiàn)以下功功能:(1) 控制步步進(jìn)電機(jī)機(jī)轉(zhuǎn)速3300轉(zhuǎn)轉(zhuǎn)/分(2) 能實(shí)現(xiàn)現(xiàn)正轉(zhuǎn)或或反轉(zhuǎn)(3) 控制方方式采用用四相八八拍控制制其中:P11.0-P1.3控制制步進(jìn)電電機(jī)BAA-BDD四個(gè)相相12、設(shè)計(jì)計(jì)步進(jìn)電電機(jī)定點(diǎn)點(diǎn)控制程程序(晶晶振頻率率為122MHZZ)實(shí)現(xiàn)現(xiàn)以下功功能(1) 控制步步進(jìn)電機(jī)機(jī)在5秒秒內(nèi)達(dá)到到指定位位置(2) 利用定定時(shí)器TT0方式式1中斷斷方式實(shí)實(shí)現(xiàn)(3) 控制方方式采用用四相雙雙四拍其中P1.0-PP1.33控制步步進(jìn)電機(jī)機(jī)BA-BD四四個(gè)相,電電路已有有驅(qū)動(dòng)部部分13、設(shè)計(jì)計(jì)生產(chǎn)工工序模擬擬控制程程序(晶晶振頻率率為122M

10、HZZ)實(shí)現(xiàn)現(xiàn)以下功功能(1) 11和2道道工序工工作時(shí)間間為2秒秒(2) 33和4道道工序工工作時(shí)間間為5秒秒(3) 55和6道道工序工工作時(shí)間間為7秒秒(4 )77和8道道工序工工作時(shí)間間為8秒秒(5) 當(dāng)某道道工序發(fā)發(fā)生故障障時(shí),能能實(shí)現(xiàn)報(bào)報(bào)警并顯顯示其故故障工序序位置(6) 利用軟軟件延時(shí)時(shí),故障障報(bào)警利利用外部部中斷11實(shí)現(xiàn)其中:P11.0-P1.7分別別控制從從準(zhǔn)備到到入庫(kù)的的8道工工序,PP3.33為報(bào)警警的輸入入端,只只要按下下任一按按鈕就會(huì)會(huì)產(chǎn)生報(bào)報(bào)警信號(hào)號(hào)14、設(shè)計(jì)計(jì)鍵盤控控制程序序(晶振振頻率為為12MMHZ)實(shí)實(shí)現(xiàn)以下下功能(1)檢測(cè)測(cè)1、22號(hào)鍵有有無(wú)鍵按按下,要要求

11、1號(hào)號(hào)鍵按下下時(shí)顯示示“1”,2號(hào)號(hào)鍵按下下顯示“2”(2)延時(shí)時(shí)方式利利用軟件件延時(shí)實(shí)實(shí)現(xiàn)(3)數(shù)碼碼管顯示示數(shù)碼利利用查表表指令實(shí)實(shí)現(xiàn)其中:81155的的PA口口控制數(shù)數(shù)碼管位位顯示,881555的PBB0-PPB6為為段代碼碼。8155的的控制口口地址為為21000H,PPA口地地址位221011H,PPB口地地址為221022H。15、設(shè)計(jì)計(jì)秒表控控制程序序(晶振振頻率為為12MMHZ)實(shí)實(shí)現(xiàn)以下下功能;(1)600秒倒計(jì)計(jì)時(shí)。當(dāng)當(dāng)時(shí)間顯顯示為00時(shí),東東西方向向黃燈亮亮,南北北方向綠綠燈亮(2)數(shù)碼碼管顯示示方式采采用動(dòng)態(tài)態(tài)顯示(3)利用用定時(shí)器器T0,方方式1中中斷方式式實(shí)現(xiàn)16、

12、設(shè)計(jì)計(jì)交通緊緊急處理理程序設(shè)設(shè)計(jì)(晶晶振頻率率為122MHZZ)實(shí)現(xiàn)現(xiàn)以下程程序:(1)按緊緊急處理理1鍵時(shí)時(shí),東西西方向數(shù)數(shù)碼管顯顯示為“11”,同時(shí)時(shí)東西方方向車燈燈指示亮亮(2)按緊緊急處理理2鍵時(shí)時(shí),南北北方向數(shù)數(shù)碼管顯顯示為“22”,同時(shí)時(shí)南北方方向車燈燈指示亮亮(3)按緊緊急處理理1鍵利利用P33.2東東西方向向緊急按按鈕輸入入,緊急急處理22鍵利用用P3.3南北北方向緊緊急按鈕鈕輸入(4)采用用查詢方方式單片機(jī)工程程實(shí)踐題題選答案案1、P81155 EQUU 21100HHPB EQQU 221022HPA EQQU 221011HORG 000000HAJMP MAIINORG

13、 000033HAJMP INTT00ORG 000133HAJMP INTT11ORG 000300HMAIN: MOOV SSP,#60HH MOOV DDPTRR,#PP81555 MOOV AA,#003H MOOVX DPPTR,A MOOV PP0,#00HH MOOV PP2,#00HH MOOV PP1,#0FFFH ORRL IIE,#85HH ORRL IIP,#01HHA1: MOOV PP1,#46HH ;東東西綠燈燈,南北北紅燈,東西車車燈亮 MOOV 223H,#311H ;23HH東西時(shí)時(shí)間,224H南南北時(shí)間間 MOOV 224H,#366H MOOV RR6,

14、#30LOOP11: ACCALLL DIISPAA ACCALLL DIISPBB ACCALLL DEELAYY0 ACCALLL DEELAYY0 DJJNZ R6,LOOOP1A2: MOOV PP1,#12HH ;東西西黃燈,南北紅紅燈,東東西車燈燈滅 MOOV 223H,#066H MOOV RR6,#05HHLOOP22: ACCALLL DIISPAA ACCALLL DIISPBB ACCALLL DEELAYY0 CPPL PP1.44 ACCALLL DEELAYY0 CPPL PP1.44 DJJNZ R6,LOOOP2A3: MOOV PP1,#89HH ;東西西紅燈

15、,南北綠綠燈,東東西車燈燈滅 ,南北車車燈亮 MOOV 223H,#366H MOOV 224H,#311H MOOV RR6,#30LOOP33: ACCALLL DIISPAA ACCALLL DIISPBB ACCALLL DEELAYY0 ACCALLL DEELAYY0 DJJNZ R6,LOOOP3A4: MOOV PP1,#21HH ;東西西紅燈,南北黃黃燈,東東西車燈燈滅 ,南北車車燈滅 MOOV 224H,#066H MOOV RR6,#05HHLOOP44: ACCALLL DIISPAA ACCALLL DIISPBB ACCALLL DEELAYY0 CPPL PP1.

16、55 ACCALLL DEELAYY0 CPPL PP1.55 DJJNZ R6,LOOOP4 AJJMP A1;*DISPAA: DDEC 23HH ;調(diào)調(diào)顯示緩緩沖 MMOV A,223H AANL A,#0FHH MMOV R2,A SSETBB C CCJNEE R22,#00AH,DISSPA44DISPAA4:JJC DDISPPA1 CCLR C MMOV A,223H SSUBBB A,#066H MMOV 23HH,ADISPAA1:MMOV R0,#7BBH MMOV A,223HDISPAA2:MMOV R1,A AACALLL DDISPPA3 MMOV A,RR1 S

17、SWAPP ADISPAA3:AANL A,#0FHH MMOV R00,A IINC R0 RRET;*DISPBB: DDEC 24HH MMOV A,224H AANL A,#0FHH MMOV R1,A SSETBB C CCJNEE R11,#00AH,DISSPB44DISPBB4:JJC DDISPPB1 CCLR C MMOV A,224H SSUBBB A,#066H MMOV 24HH,ADISPBB1:MMOV R0,#7DDH MMOV A,224HDISPBB2:MMOV R1,A AACALLL DDISPPB3 MMOV A,RR1 SSWAPP ADISPBB3

18、:AANL A,#0FHH MMOV R00,A IINC R0 RRET;*INT111:MOOV PP1,#89HH MMOV DPTTR,#PA MOOV AA,#00FFHH MOOVX DPPTR,A INNC DDPTRR CLLR AA MOOVX DPPTR,A RETTIINT000: MMOV P1,#466H MOVV DPPTR,#PAA MOOV AA,#00FFHH MOOVX DPPTR,A INNC DDPTRR CLLR AA MOOVX DPPTR,A REETI;*DISP00:SEETB 0D44H ;顯示子子程序 MOOV RR1,#7EHH MOOV

19、 RR2,#07FFH MOOV RR3,#80HH MOOV RR4,#04 SEETB CDISP11:MOOV AA,R22 MOOV DDPTRR,#PPA MOOVX DPPTR,A MOOV DDPTRR,#TTAB MOOV AA,RR1 MOOVC A,A+DDPTRR MOOV DDPTRR,#PPB MOOVX DPPTR,ADISP22:DJJNZ R3,DISSP2 DEEC RR1 MOOV AA,R22 RRRC AA MOOV RR2,AA DJJNZ R4, DIISP11 MOOV DDPTRR,#PPA MOOV AA,#00FFHH MOOVX DPPTR

20、,A INNC DDPTRR CLLR AA MOOVX DPPTR,A CLLR 00D4HH REETTAB: DBB 0CC0H,0F9HH,0AA4H,0B00H,999H,92HH,822H,00F8HH,800H,990H,88HH,833H,00C6HH,0AA1H ,866H,88EH,8CHH;*DELAYY0: MOVV A,#0EE0H ;延延時(shí)子程程序 MOVV R44,ADELAYY1: MOVV R55,#00FFHHDELAYY2: DJNNZ RR5,DDELAAY2 LCAALL DISSP0DJNZZ R44,DEELAYY1 ;*RET;*END2、 OO

21、RG 00000H AJMMP MMAINN ORGG 00013HHAJMPP INNTTORG 00330H ;?MAIN: MOVV SPP,#660H SETTB PP3.33 MOVV P11,#00FFHHORL P3,#000H ORLL IEE,#884HORL IP,#044HMOV PSWW,#000HSTARTT:MOVV P11,#001HACALLL DDY2MOV P1,#022HACALLL DDY2MOV P1,#044HACALLL DDY2MOV P1,#088HACALLL DDY2MOV P1,#100HACALLL DDY2MOV P1,#200HAC

22、ALLL DDY2MOV P1,#400HACALLL DDY2 MOVV P11,#880H ACAALL DY22SJMPP STTARTTINTT: MOVV B,R2INTT11: MOVV A,P1 ;ACCALLL DYY1 MOVV P11,A ACAALL DY11 JNBB P33.3, INNTT11 MOVV R22,B RETTIDY1:MOVV R22,#220HACALLL DDELAAYRETDY2:MOVV R22,#330HACALLL DDELAAYRETDELAYY:PUSSH 002HDELAYY1: PUSSH 002HDELAYY2: PUSSH 0

23、02HDELAYY3: DJNNZ RR2,DDELAAY3POP 02HHDJNZZ R22,DEELAYY2POP 02HHDJNZZ R22,DEELAYY1POP 02HHDJNZZ R22,DEELAYYRETEND3、ORGG 00000HHSTARTT: MOVV P1,#033HLCALLL DDYMOV PP1,#06HHLCALLL DDYMOV PP1,#0CHHLCALLL DDYMOV PP1,#09HHLCALLL DDYAJMPP STTARTT DY:MMOV R1,#055HDYY:LCAALL DY00DJNZZ R11,DYYYRETDY0: MOVV R

24、77,#00HDY1: MOVV R22,#00HDY11: DJNNZ RR2,DDY111 DJNNZ RR7,DDY1 RETT ENDD4、DA008322 EQQU 660000H OORG 00000HSTARTT: MMOV A,#0H MMOV DPTTR,#DA008322 L1: MMOVXX DDPTRR,A LLCALLL DDY IINC A CCJNEE A,#0FFFH,L1 MMOV A,#0FFFHL2: MMOVXX DDPTRR,A LLCALLL DDY DDEC A CCJNEE A,#011H,LL2 JJMP STAART DDY: MOVV R7

25、7,#001HDY: MMOV R2,#0FFHDY11: DDJNZZ R22,DYY11 DDJNZZ R77,DYY RRET EEND5、 ORRG 000000HSTARTT:SEETB P1.7 LLCALLL DDY CCLR P1.7 LLCALLL DDY JJMP STAARTDY: MOOV RR7,#00HHDY1: MOVV R22,#000HDY11: DDJNZZ R22,DYY11 DDJNZZ R77,DYY1 RRET EEND6、ORGG 00000HHMOV DDPTRR,#330000HMOV AA,#000HMOVX DPPTR,A ;寫寫數(shù)據(jù)MOV

26、 AA,#000HMOVX DPPTR,AINC DDPTRRMOV AA,#442HMOVX DPPTR,A ;寫指令令LCALLL DYY;* 設(shè)設(shè)置圖形形顯示起起始地址址MOV DDPTRR,#330000HMOV AA,#110HMOVX DPPTR,AMOV AA,#000HMOVX DPPTR,AINC DDPTRRMOV AA,#443HMOVX DPPTR,ALCALLL DYY;*設(shè)設(shè)置圖形形顯示范范圍MOV AA,#00A7HHMOVX DPPTR,ALCALLL DYY;*MOV AA,#880HMOVX DPPTR,ALCALLL DYY;* 選擇OOR模式式MOV A

27、A,#99BHMOVX DPPTR,ALCALLL DYY;* 文文本關(guān),圖圖形開MOV DDPTRR,#330000HMOV AA,#000HMOVX DPPTR,AMOV AA,#000HMOVX DPPTR,AMOV AA,#224HINC DDPTRRMOVX DPPTR,ALCALLL DYY;* 設(shè)置置起始地地址MOV AA,#00B0HHMOVX DPPTR,AMOV RR0,#00HH;* 開始始數(shù)據(jù)自自動(dòng)寫QS0:MOV AA,R22MOV DDPTRR,#TTAB00MOVC A,A+DDPTRRMOV DDPTRR,#330000HMOVX DPPTR,AINC RR2D

28、JNZ R0,QS00MOV RR0,#00HHMOV RR2,#00HH ;顯示棱棱環(huán)商標(biāo)標(biāo)QS1:MOV AA,R22MOV DDPTRR,#TTAB11MOVC A,A+DDPTRRMOV DDPTRR,#330000HMOVX DPPTR,AINC RR2DJNZ R0,QS11MOV RR0,#00HHMOV RR2,#00HH ;顯顯示DVCCC系系列產(chǎn)品品QS2:MOV AA,R22MOV DDPTRR,#TTAB22MOVC A,A+DDPTRRMOV DDPTRR,#330000HMOVX DPPTR,AINC RR2DJNZ R0,QS22MOV RR0,#00HHMOV

29、RR2,#00HH ;顯示示啟東計(jì)計(jì)算機(jī)廠廠有限公公司QS3:MOV AA,R22MOV DDPTRR,#TTAB33MOVC A,A+DDPTRRMOV DDPTRR,#330000HMOVX DPPTR,AINC RR2DJNZ R0,QS33 ;顯示示051133321336200;* 取數(shù)數(shù)據(jù)MOV DDPTRR,#330011HMOV AA,#00B2HHMOVX DPPTR,ALCALLL DYY;* 自動(dòng)動(dòng)復(fù)位SJMP $DY: MMOV R7,#011HDY1:MMOV R2,#0FFHDY11:DJNNZ RR2,DDY111 DJNNZ RR7,DDY1 RRETTAB0:

30、DB 0000HH,0000H,07CCH,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0001H,0933H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0006H,0288H,00C0HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,

31、0000H,0000HHDB 0000HH,0008H,0444H,0020HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0008H,0822H,0020HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0111H,0A5HH,0110H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0

32、000H,0000H,0000HH,0000HDB 0000HH,0112H,0A4HH,0990H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000HDB 0000HH,0114H,0BCCH,0050HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0118H,0A4HH,0330H,0F0HH,0000H,0000H,0000HH,0000H,0000H,0000H

33、H,0000H,0000H,0000HH,0000H,0000HDB 0000HH,0114H,0A4HH,0551H,0088H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000HDB 0000HH,0112H,0800H,0092HH,0FF4H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0009H,07DDH,0022HH,0994H,0000H,0000HH,0000H,0000H,0

34、000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0008H,0822H,0022HH,0FF4H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0006H,0444H,00C2HH,0AA4H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0001H,0ABBH,0001HH,0998H,0000H,0000HH,0

35、000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0000H,07CCH,0000HH,0FF0H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHTAB1:DB 0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0000H,0000H,0000HH,000

36、0H,0000H,0000HH,0000H,0000H,0000HH,0006H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0000H,0000H,0000HH,0000H,0000H,0001HH,0CC0H,0000H,0030HH,0003H,0000H,0006HH,0000H,0000H,0000HHDB 0000HH,033CH,0466H,003EHH,033EH,0000H,000FHH,0000H,01EEH,0060HH,033FH,0800H,007FHH,0000H,0000H,0000HHDB 0000HH,0666H,0444

37、H,0066HH,0666H,0000H,0006HH,0CC0H,0388H,0060HH,011BH,0000H,0066HH,0000H,0000H,0000HHDB 0000HH,0666H,04CCH,0066HH,0666H,0000H,000DHH,0880H,0333H,0060HH,011EH,0000H,006EHH,0000H,0000H,0000HHDB 0000HH,0666H,0588H,00C0HH,0CC0H,0000H,001FHH,0000H,07FFH,00C0HH,066FH,0C0HH,0778H,0000H,0000HH,0000HDB 0000HH

38、,0CCCH,0788H,00C0HH,0CC0H,0FCCH,000CHH,0CC0H,0DEEH,00C0HH,0778H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0CCCH,0700H,00C0HH,0CC0H,0000H,003FHH,0CC1H,0F6HH,0CC0H,0C0HH,0007H,0FFFH,0080HH,0000H,0000HDB 0000HH,0DD8H,0700H,00CCHH,0CCCH,0000H,0006HH,0000H,0611H,0080HH,0CC0H,0066H,00F3HH,0000H,0000H,0000H

39、HDB 0001HH,0FF0H,0600H,00D8HH,0DD8H,0000H,006DHH,0CC0H,0C1HH,0881H,0800H,0007HH,0FFFH,0000H,0000HH,0000HDB 0001HH,0EE0H,0400H,0070HH,0770H,0000H,00CCHH,0001H,0833H,0083HH,0000H,0077H,0038HH,0000H,0000H,0000HHDB 0000HH,0000H,0000H,0000HH,0000H,0000H,001CHH,0000H,0033H,0006HH,0000H,0000H,0000HH,0000H,

40、0000H,0000HHDB 0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,000CHH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0

41、000HH,0000H,0000H,0000HHTAB2:DB 0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0001HH,0880H,0188H,000CHH,0000H,0666H,0006HH,0000H,0000H,0001HH,0880H,0000H,0000HH,0000H,0000H,0000HHDB 000DHH,0880H,0300H,0006HH,0CC0H,0FFFH,008DHH,0FF0H,0FFFH,008

42、3HH,0119H,0FFFH,0003HH,0CC0H,07FFH,0000HHDB 000FHH,0FF0H,0FFFH,0000HH,0CC1H,0F3HH,000DH,0E11H,0080HH,011FH,0F1HH,0FF3H,0066H,00C0HH,0003H,0000HDB 0018HH,0330H,0D88H,0000HH,0CC3H,0DEEH,001FHH,0661H,0800H,0006HH,0663H,0BFFH,000CHH,0660H,0FFFH,0000HHDB 001FHH,0EE0H,0F0HH,011FH,0F1HH,0FF6H,01FFH,0061HH,

43、0880H,00FFH,00E3HH,0FF6H,01BBH,0070HH,0006H,0000HDB 0018HH,0661H,0FEEH,0019HH,0881H,0DCCH,001FHH,0CC1H,0800H,003FHH,0CC3H,0FEEH,0036HH,0001H,0F6HH,0000HDB 003FHH,0EE0H,0FCCH,001FHH,0881H,0FCCH,007EHH,0CC3H,0000H,000CHH,0CC3H,0FCCH,000CHH,0001H,0B66H,0000HHDB 003CHH,0CC1H,0B66H,003DHH,0880H,0DBBH,003

44、6HH,0EE3H,0000H,001FHH,0CC7H,0F8HH,0119H,0833H,00F6HH,0000HDB 0078HH,0CC7H,0666H,003BHH,0003H,0FEEH,003CHH,0EE6H,0000H,0018HH,0CC6H,07CCH,0030HH,0CC0H,00CCH,0000HHDB 00DFHH,0CC0H,0E00H,0003HH,0003H,0300H,0038HH,0EECH,0000H,0019HH,0886H,0666H,001FHH,0CC0H,00CCH,0000HHDB 0000HH,0000H,0000H,0000HH,0000

45、H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0000H,0000H

46、,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHTAB3:DB 0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0

47、000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0000H,0000H,0000HH,0771H,0F1HH,0883H,0800H,000EHH,011CH,0300H,0071HH,0EE1H,0C3HH,0880H,0000HDB 0000HH,0000H,0000H,0000HH,0FF3H,0877H,000FHH,0880H,03EEH,007CHH,0EE1H,0F3HH,0007H,0C7HH,0880H,0

48、000HDB 0000HH,0000H,0000H,0001HH,0BB3H,0E33H,0001HH,0BBFH,0066H,000CHH,0660H,0366H,0000HH,0CCDH,0800H,0000HHDB 0000HH,0000H,0000H,0001HH,0BB0H,0633H,0007HH,0000H,01CCH,0018HH,0660H,0E77H,00C1HH,088DH,0800H,0000HHDB 0000HH,0000H,0000H,0001HH,0BB0H,0633H,0001HH,0880H,0066H,0030HH,0660H,0366H,0063HH,00

49、0DH,0800H,0000HHDB 0000HH,0000H,0000H,0001HH,0EE6H,0C6HH,011BH,0000H,006CHH,0778H,0C3HH,0666H,0C7HH,088FH,0000H,0000HHDB 0000HH,0000H,0000H,0001HH,0CC3H,08FFH,000EHH,0000H,0388H,00F9HH,0EE1H,0C7HH,088FH,08EEH,0000HH,0000HDB 0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,

50、0000HH,0000H,0000H,0000HHDB 0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,

51、0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHDB 0000HH,0000H,0000H,0000HH,0000H,0000H,0000HH,0000H,0

52、000H,0000HH,0000H,0000H,0000HH,0000H,0000H,0000HHEND7、 OORG 00000HXPAEQUU30000HXPBEQUU30001H;- ;H-CCODEE-1 x2773 eequ 60000hh XPCCEQUU30002HXPCTTLEQUU30003H STARRT: MOVV SPP,#660H MOVV DPPTR,#XPPCTLLMOV A,#80HHMOVXX DDPTRR,A ;寫控制制字 LCAALL OFFFLEDD;關(guān)顯顯示CLR 00HHMOV R6,#022HMOV R7,#300H;-X0:MMOV R0,#00

53、0H ;取TAAB數(shù)X1:LLCALLL DDEL11INC R0INC R0CJNEE R00,#00E0HH,X11X2: MOVV R00,#000HX3:LLCALLL DDEL55;取TTAB11數(shù)INC R0INC R0CJNEE R00,#880H,X3SJMPP X00;-DISPWW: PUUSH 00HH;顯示示一個(gè)字字MOV R1,#800H;L-NUMMCLR CDISP11:MOVV A,R0;BHH1MOV DPTTR,#TABBMOVCC A,A+DPTTR moov ddptrr,#XXPB MOOVX DPPTR,A ;-INC R0;BHH2MOV A,RR

54、0MOV DPTTR,#TABBMOVCC A,A+DPTTRMOV DPTTR,#XPAA MOVVX DPTTR,AA;-MOV DPLL,R66;X2273/XPCCMOV DPHH,R77 MOVV A,R1;L00-L77CPL AMOVXX DDPTRR,A movv r33,#880hdjnzz r33,$LCALLL OOFFLLEDINC R0MOV A,RR1RRC AMOV R1,AJNC DISSP1JB 000H,EXIIT;-SETBB 000HCLR CMOV R1,#800HMOV R6,#000HMOV R7,#600HAJMPP DIISP11EXIT:MO

55、VV R66,#002HMOV R7,#300HCLR 00HHPOP 00HHRETDISPWW1: PPUSHH 000H;MOV R1,#800H;CLR CDISP22:MOVV A,R0;MOV DPTTR,#TABB1MOVCC A,A+DPTTR moov ddptrr,#XXPB MOOVX DPPTR,A ;-INC R0;MOV A,RR0MOV DPTTR,#TABB1MOVCC A,A+DPTTRMOV DPTTR,#XPAA MOVVX DPTTR,AA;-MOV DPLL,R66MOV DPHH,R77 MOVV A,R1CPL AMOVXX DDPTRR,A mo

56、vv r33,#880hdjnzz r33,$LCALLL OOFFLLEDINC R0MOV A,RR1RRC AMOV R1,AJNC DISSP2JB 000H,EXIIT1;-SETBB 000HCLR CMOV R1,#800HMOV R6,#000HMOV R7,#600HAJMPP DIISP22EXIT11:MOVV R66,#002HMOV R7,#300HCLR 00HHPOP 00HHRET;-TAB: DB 00HH,000H,000H,00HH,000H,000H,00HH,000H,000H,00HH,000H,000H,00HH,000H,000H,00HH DB

57、 00HH,000H,000H,00HH,000H,000H,00HH,000H,000H,00HH,000H,000H,00HH,000H,000H,00HH DB 00HH,000H,000H,80HH,011H,000H,3FHH,0FF8H,20HH,088H,220H,08HH,200H,008H,3FHH,0FF8H ; 啟啟 從從右上角角開始橫橫向取字字模 DB 00HH,088H,33FH,0E88H,220H,28HH,200H,22CH,20HH,244H,33FH,0E66H,220H,22HH,000H,000H DB 00HH,000H,001H,80HH,000H,

58、00C0HH,000H,440H,7FHH,0FFEH,00HH,200H,001H,30HH,011H,110H; 東東 DB 03FFH,00F8HH,011H,000H,01HH,000H,119H,30HH,311H,118H,61HH,0CCH,001H,40HH,000H,880H DB 00HH,000H,000H,00HH,044H,00CH,04HH,188H,004H,10HH,244H,000H,7FHH,0CCEH,04HH,088H ; 計(jì)計(jì) DB 04HH,088H,004H,08HH,044H,008H,04HH,288H,004H,28HH,044H,118H,

59、04HH,088H,004H,00hh DB 02HH,022H,77EH,7EHH,099H,112H,1FHH,0FFDH,08HH,044H,00FH,0FCCH,008H,04HH,0FFH,00FCHH ;算算 DB 08HH,044H,00FH,0FCCH,002H,10HH,7FFH,00FFHH,022H,110H,02HH,088H,002H,08HH,022H,004H DB 00HH,000H,000H,08HH,0FFH,008H,09HH,288H,009H,7FHH,099H,008H,09HH,0CCH,009H,3CHH ;機(jī)機(jī) DB 09HH,2CCH,009

60、H,0AHH,499H,00AH,49HH,099H,448H,88HH,488H,448H,78HH,288H,000H,00HH DB 00HH,000H,220H,00HH,7FFH,00F0HH,000H,110H,00HH,100H,000H,10HH,000H,110H,00HH,100H ;廠廠 DB 00HH,100H,000H,10HH,000H,110H,00HH,088H,000H,08HH,000H,008H,00HH,044H,000H,00HH DB 00HH,000H,000H,80HH,000H,880H,20HH,0CC0H,7FHH,0FFEH,00HH,4

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論