計(jì)算機(jī)組成原理試題(多份綜合)_第1頁(yè)
計(jì)算機(jī)組成原理試題(多份綜合)_第2頁(yè)
計(jì)算機(jī)組成原理試題(多份綜合)_第3頁(yè)
計(jì)算機(jī)組成原理試題(多份綜合)_第4頁(yè)
計(jì)算機(jī)組成原理試題(多份綜合)_第5頁(yè)
已閱讀5頁(yè),還剩66頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

試卷一:一.選擇題(每小題1分,共20分).目前我們所說(shuō)的個(gè)人臺(tái)式商用機(jī)屬于—D_。A.巨型機(jī)B.中型機(jī) C.小型機(jī) D.微型機(jī).(2000)10化成十六進(jìn)制數(shù)是B__oA.(7CD)16B.(7D0)16C.(7E0)16D.(7F0)16.下列數(shù)中最大的數(shù)是_A_。A.(10011001)2B.(227)8C.(98)16D.(152)10.D_表示法主要用于表示浮點(diǎn)數(shù)中的階碼。A.原碼B.補(bǔ)碼C.反碼D,移碼.在小型或微型計(jì)算機(jī)里,普遍采用的字符編碼是一D-。A.BCD碼B,16進(jìn)制C.格雷碼D.ASCII碼.下列有關(guān)運(yùn)算器的描述中,―D—是正確的。A.只做算術(shù)運(yùn)算,不做邏輯運(yùn)算 B.只做加法D.既做算術(shù)運(yùn)算,又做邏輯運(yùn)算B.D.既做算術(shù)運(yùn)算,又做邏輯運(yùn)算B.只讀存儲(chǔ)器D.光擦除可編程的只讀存儲(chǔ)器.EPROM是指_D.A.讀寫存儲(chǔ)器C,可編程的只讀存儲(chǔ)器.lntel80486是32位微處理器,Pentium是_0位微處理器.A.16 B.32 C.48 D.64.設(shè)[X]補(bǔ)=1.x1x2x3x4,當(dāng)滿足 A時(shí),X>-1/2成立。A.x1必須為1,x2x3x4至少有一個(gè)為1 B.x1必須為1,x2x3x4任意C.x1必須為0,X2X3X4至少有一個(gè)為1 D.x1必須為0,X2X3X4任意.CPU主要包括—BoA.控制器 B.控制器、運(yùn)算器、cacheC.運(yùn)算器和主存 D,控制器、ALU和主存.信息只用一條傳輸線,且采用脈沖傳輸?shù)姆绞椒Q為_A。A.串行傳輸B.并行傳輸C.并串行傳輸D.分時(shí)傳輸.以下四種類型指令中,執(zhí)行時(shí)間最長(zhǎng)的是_CoA.RR型B.RS型C.SS型 D.程序控制指令.下列一D_屬于應(yīng)用軟件。A.操作系統(tǒng)B.編譯系統(tǒng)C.連接程序 D.文本處理.在主存和CPU之間增加cache存儲(chǔ)器的目的是_C。A.增加內(nèi)存容量 B.提高內(nèi)存可靠性C.解決CPU和主存之間的速度匹配問題D,增加內(nèi)存容量,同時(shí)加快存取速度.某單片機(jī)的系統(tǒng)程序,不允許用戶在執(zhí)行時(shí)改變,則可以選用—B作為存儲(chǔ)芯片。A.SRAM B.閃速存儲(chǔ)器 C.cacheD.輔助存儲(chǔ)器.設(shè)變址寄存器為X,形式地址為D,(X)表示寄存器X的內(nèi)容,這種尋址方式的有效地址為A“A.EA=(X)+DB.EA=(X)+(D)C,EA=((X)+D)D.EA=((X)+(D)).在指令的地址字段中,直接指出操作數(shù)本身的尋址方式,稱為__C_.A,隱含尋址B.立即尋址C,寄存器尋址D.直接尋址.下述I/O控制方式中,主要由程序?qū)崿F(xiàn)的是—D—oA.PPU(外圍處理機(jī))方式B.中斷方式C.DMA方式D.通道方式.系統(tǒng)總線中地址線的功能是_C_。A.用于選擇主存單元地址 B.用于選擇進(jìn)行信息傳輸?shù)脑O(shè)備C.用于選擇外存地址 D.用于指定主存和I/O設(shè)備接口電路的地址.采用DMA方式傳送數(shù)據(jù)時(shí),每傳送一個(gè)數(shù)據(jù)要占用_B的時(shí)間。A.一個(gè)指令周期B.一個(gè)機(jī)器周期C.一個(gè)時(shí)鐘周期D.一個(gè)存儲(chǔ)周期二.填空題(每空1分,共20分).數(shù)控機(jī)床是計(jì)算機(jī)在A.方面的應(yīng)用,郵局把信件自動(dòng)分揀是在計(jì)算機(jī)B.方面的應(yīng)用。.漢字的A.、B.、C.是計(jì)算機(jī)用于漢字輸入、內(nèi)部處理、輸出三種不同用途的編碼。.閃速存儲(chǔ)器特別適合于A.微型計(jì)算機(jī)系統(tǒng),被譽(yù)為B.而成為代替磁盤的一種理想工具。.主存儲(chǔ)器的性能指標(biāo)主要是A.、B.、存儲(chǔ)周期和存儲(chǔ)器帶寬。.條件轉(zhuǎn)移、無(wú)條件轉(zhuǎn)移、轉(zhuǎn)子程序、返主程序、中斷返回指令都屬于A.類指令,這類指令在指令格式中所表示的地址不是B.的地址,而是C.的地址。.從操作數(shù)的物理位置來(lái)說(shuō),可將指令歸結(jié)為三種類型:存儲(chǔ)器-存儲(chǔ)器型,A.,B.。.運(yùn)算器的兩個(gè)主要功能是:A.,B.o.PCI總線采用A.仲裁方式,每一個(gè)PCI設(shè)備都有獨(dú)立的總線請(qǐng)求和總線授權(quán)兩條信號(hào)線與B.相連。.直接內(nèi)存訪問(DMA)方式中,DMA控制器從CPU完全接管對(duì)A.的控制,數(shù)據(jù)交換不經(jīng)過(guò)CPU,而直接在內(nèi)存和B.之間進(jìn)行。答案:A.自動(dòng)控制B.人工智能A.輸入編碼(或輸入碼) B.內(nèi)碼(或機(jī)內(nèi)碼)C.字模碼A.便攜式B.固態(tài)盤A.存儲(chǔ)容量B.存取時(shí)間A.程序控制類B.操作數(shù)C.下一條指令A(yù).寄存器一寄存器型B.寄存器一存儲(chǔ)器型A.算術(shù)運(yùn)算B.邏輯運(yùn)算A.集中式B.中央仲裁器A.總線B.I/O設(shè)備(或輸入輸出設(shè)備)試卷二:選擇題(每空1分,共20分).將有關(guān)數(shù)據(jù)加以分類、統(tǒng)計(jì)、分析,以取得有利用價(jià)值的信息,我們稱其為C.A.數(shù)值計(jì)算B.輔助設(shè)計(jì) C.數(shù)據(jù)處理 D.實(shí)時(shí)控制.目前的計(jì)算機(jī),從原理上講_C。A.指令以二進(jìn)制形式存放,數(shù)據(jù)以十進(jìn)制形式存放B.指令以十進(jìn)制形式存放,數(shù)據(jù)以二進(jìn)制形式存放C.指令和數(shù)據(jù)都以二進(jìn)制形式存放D.指令和數(shù)據(jù)都以十進(jìn)制形式存放.根據(jù)國(guó)標(biāo)規(guī)定,每個(gè)漢字在計(jì)算機(jī)內(nèi)占用—B—存儲(chǔ)。A.一個(gè)字節(jié)B.二個(gè)字節(jié) C.三個(gè)字節(jié) D.四個(gè)字節(jié).下列數(shù)中最小的數(shù)為一A_。A.(101001)2B.(52)8C.(2B)16D.(44)10

.存儲(chǔ)器是計(jì)算機(jī)系統(tǒng)的記憶設(shè)備,主要用于一D-。A.存放程序 B.存放軟件 C.存放微程序D.存放程序和數(shù)據(jù).設(shè)X=—0.1011,則[X]補(bǔ)為_C_。A.1.1011 B.1.0100 C.1.0101 D.1.1001.下列數(shù)中最大的數(shù)是_B.A.(10010101)2B.(227)8C.(96)16D.(143)10.計(jì)算機(jī)問世至今,新型機(jī)器不斷推陳出新,不管怎樣更新,依然保有“存儲(chǔ)程序”的概念,最早提出這種概念的是_B。A.巴貝奇 B.馮.諾依曼C.帕斯卡 D.貝爾.在CPU中,跟蹤后繼指令地指的寄存器是_B。A.指令寄存器B.程序計(jì)數(shù)器C.地址寄存器D.狀態(tài)條件寄存器.Pentium-3是一種_A。A.64位處理器B.16位處理器C.準(zhǔn)16位處理器D.32位處理器.三種集中式總線控制中,_A方式對(duì)電路故障最敏感。A.鏈?zhǔn)讲樵?B.計(jì)數(shù)器定時(shí)查詢 C.獨(dú)立請(qǐng)求.外存儲(chǔ)器與內(nèi)存儲(chǔ)器相比,外存儲(chǔ)器_BoA.速度快,容量大,成本高 B.速度慢,容量大,成本低C.速度快,容量小,成本高 D.速度慢,容量大,成本高.一個(gè)256Kx8的存儲(chǔ)器,其地址線和數(shù)據(jù)線總和為_CoA.16 B.18 C.26 D.20.堆棧尋址方式中,設(shè)A為累加器,SP為堆棧指示器,MSP為SP指示的棧頂單元。如果進(jìn)棧操作的動(dòng)作順序是(A)-*MSP,(SP)-1~SP。那么出棧操作的動(dòng)作順序應(yīng)為_B。A.(MSP)-A,(SP)+1-SP B.(SP)+1-SP,(MSP)-AC.(SP-1)^SP,(MSP)->AD.(MSP)-A,(SP)-1-SP.當(dāng)采用_A_對(duì)設(shè)備進(jìn)行編址情況下,不需要專門的I/O指令組。A.統(tǒng)一編址法 B.單獨(dú)編址法C.兩者都是D.兩者都不是.下面有關(guān)“中斷”的敘述,A 是不正確的。一旦有中斷請(qǐng)求出現(xiàn),CPU立即停止當(dāng)前指令的執(zhí)行,轉(zhuǎn)而去受理中斷請(qǐng)求CPU響應(yīng)中斷時(shí)暫停運(yùn)行當(dāng)前程序,自動(dòng)轉(zhuǎn)移到中斷服務(wù)程序C.中斷方式一般適用于隨機(jī)出現(xiàn)的服務(wù)D.為了保證中斷服務(wù)程序執(zhí)行完畢以后,能正確返回到被中斷的斷點(diǎn)繼續(xù)執(zhí)行程序,必須進(jìn)行現(xiàn)場(chǎng)保存操作.下面敘述中,_B是正確的。B.接口一定B.接口一定要和總線相連C.通道可以替代接口D.總線始終由C.通道可以替代接口D.總線始終由CPU控制和管理18.在下述指令中,I為間接尋址,_C指令包含的CPU周期數(shù)最多。A.CLAB.ADD30C.STA131D.JMP21.設(shè)寄存器位數(shù)為8位,機(jī)器數(shù)采用補(bǔ)碼形式(含一位符號(hào)位)。對(duì)應(yīng)于十進(jìn)制數(shù)-27,寄存器內(nèi)為 C。A.27HB.9BHC.E5HD.5AH.某存儲(chǔ)器芯片的存儲(chǔ)容量為8Kxi2位,則它的地址線為_C_1,A.11 B.12 C.13 D.14二.填空題(每空1分,共20分)

.計(jì)算機(jī)軟件一般分為兩大類:一類叫A.另一類叫B.o操作系統(tǒng)屬于C.類。.一位十進(jìn)制數(shù),用BCD碼表示需A. 位二進(jìn)制碼,用ASCII碼表示需B. 位二進(jìn)制碼。.主存儲(chǔ)器容量通常以KB表示,其中K=A.;硬盤容量通常以GB表示,其中G=B.o.RISC的中文含義是A..CISC的中文含義是B.o.主存儲(chǔ)器的性能指標(biāo)主要是存儲(chǔ)容量、A.、B.和C.。.由于存儲(chǔ)器芯片的容量有限,所以往往需要在A.和B.兩方面進(jìn)行擴(kuò)充才能滿足實(shí)際需求。.指令尋址的基本方式有兩種,A.方式和B.方式。.存儲(chǔ)器和CPU連接時(shí),要完成A.的連接;B.的連接和C.的連接,方能正常工作。.操作控制器的功能是根據(jù)指令操作碼和A.,產(chǎn)生各種操作控制信號(hào),從而完成B.和執(zhí)行指令的控制。答案:.A.系統(tǒng)軟件B.應(yīng)用軟件C.系統(tǒng)軟件.A.4B.7.A.210B.230.A.精簡(jiǎn)指令系統(tǒng)計(jì)算機(jī)B.復(fù)雜指令系統(tǒng)計(jì)算機(jī).A.存取時(shí)間B.存儲(chǔ)周期C.存儲(chǔ)器帶寬.A.字向B.位向.A.順序?qū)ぶ贩绞紹.跳躍尋址方式.A.地址線B.數(shù)據(jù)線C.控制線.A.時(shí)序信號(hào)B.取指令??粕谀┰嚲砣?選擇題(每小題1分,共20分)1.完整的計(jì)算機(jī)系統(tǒng)應(yīng)包括—D—。A.運(yùn)算器、存儲(chǔ)器、控制器B.外部設(shè)備和主機(jī)C.主機(jī)和實(shí)用程序D.配套的硬件設(shè)備和軟件系統(tǒng)2.下列數(shù)中最小的數(shù)為_C。A.(101001)2A.(101001)2C.(101001)BCD3.設(shè)X=-0.1011,A.1.1011C.1.0101.機(jī)器數(shù)_BC_A.原碼C,移碼.在計(jì)算機(jī)中,BCD碼C.格雷碼(52)8' D.(233)16則(X)補(bǔ)為C。B.1.0100D.1.1001一中,零的表示形式是唯一的。B.補(bǔ)碼D.反碼普遍采用的字符編碼是—DB.16進(jìn)制D.ASCII碼.運(yùn)算器的主要功能是進(jìn)行_C_。A.邏輯運(yùn)算 B.算術(shù)運(yùn)算C.邏輯運(yùn)算和算術(shù)運(yùn)算 D.只作加法.存儲(chǔ)器是計(jì)算機(jī)系統(tǒng)中的記憶設(shè)備,它主要用來(lái)_C。A.存放數(shù)據(jù) B.存放程序C.存放數(shù)據(jù)和程序 D,存放微程序.某計(jì)算機(jī)的字長(zhǎng)16位,它的存儲(chǔ)容量是64KB,若按字編址,那么它的尋址范圍是—B—。A.64K B.32KC.64KB D.32KB9.用32位字長(zhǎng)(其中1位符號(hào)位)表示定點(diǎn)小數(shù)時(shí),所能表示的數(shù)值范圍是_B.A.OW|N|^1-2-32 B.OW|N|W1-2-31C.OW|N|^1-2-30 D.OW|N|^1-2-29.用于對(duì)某個(gè)寄存器中操作數(shù)的尋址方式稱為—C—尋址。A.直接 B.間接C,寄存器直接 D.寄存器間接.程序控制類指令的功能是_D。A.進(jìn)行算術(shù)運(yùn)算和邏輯運(yùn)算B.進(jìn)行主存和CPU之間的數(shù)據(jù)傳送C.進(jìn)行CPU和I/O設(shè)備之間的數(shù)據(jù)傳送D.改變程序執(zhí)行的順序12,中央處理器(CPLD是指—C―。A.運(yùn)算器B.控制器C.運(yùn)算器、控制器和cacheD.運(yùn)算器、控制器和主存儲(chǔ)器.計(jì)算機(jī)使用總線結(jié)構(gòu)的主要優(yōu)點(diǎn)是便于實(shí)現(xiàn)積木化,同時(shí)_C。A.減少「信息傳輸量B.提高了信息傳輸?shù)乃俣菴,減少了信息傳輸線的條數(shù).在集中式總線仲裁中,_A方式對(duì)電路故障最敏感。A.鏈?zhǔn)讲樵?B.計(jì)數(shù)器定時(shí)查詢C,獨(dú)立請(qǐng)求.在微型機(jī)系統(tǒng)中,外圍設(shè)備通過(guò)_A與主板的系統(tǒng)總線相連接。A.適配器 B.設(shè)備控制器C.計(jì)數(shù)器 D.寄存器.3.5英寸軟盤記錄方式采用—C。A.單面雙密度 B.雙面雙密度C,雙面高密度 D.雙面單密度.為了便于實(shí)現(xiàn)多級(jí)中斷,保存現(xiàn)場(chǎng)信息最有效的方式是采用_B.A.通用寄存器 B.堆棧C,存儲(chǔ)器 D.外存.周期挪用方式多用于_A_方式的輸入輸出中。A.DMA B.中斷C.程序傳送 D.通道.MO型光盤和PC型光盤都是 C 型光盤。A.只讀 B.一次C.重寫.并行I/O標(biāo)準(zhǔn)接口SCSI中,一個(gè)主適配器可以連接_B臺(tái)具有SCSI接口的設(shè)備。A.6B.7~15C.8D.10二.填空題(每小題1分,共20分).存儲(chǔ)A.并按B.順序執(zhí)行,這是馮?諾依曼型計(jì)算機(jī)的工作原理。.計(jì)算機(jī)的A.是計(jì)算機(jī)B.結(jié)構(gòu)的重要組成部分,也是計(jì)算機(jī)不同于一般電子設(shè)備的本質(zhì)所在。.一個(gè)定點(diǎn)數(shù)由A.和B.兩部分組成。.CPU能直接訪問A.和B.,但不能直接訪問磁盤和光盤。.指令格式是指令用A.表示的結(jié)構(gòu)形式,通常格式中由操作碼字段和B.字段組成。.主存儲(chǔ)器的性能指標(biāo)主要是存儲(chǔ)容量、存取時(shí)間、A.和B.。.RISC機(jī)器一定是A.CPU,但后者不一定是RISC機(jī)器,奔騰機(jī)屬于B.機(jī)器。.計(jì)算機(jī)系統(tǒng)中,根據(jù)應(yīng)用條件和硬件資源不同,數(shù)據(jù)傳輸方式可采用:A.傳送、B.傳送和C_傳送。.軟磁盤和硬磁盤的A.記錄方式基本相同,但在B.和C.上存在較大差別。答案:A.程序B.地址A.軟件B.系統(tǒng)A.符號(hào)位B.數(shù)值域A.cacheB.主存A.一進(jìn)制代碼B.地址碼A.存儲(chǔ)周期B.存儲(chǔ)器帶寬A.流水B.CISCA.并行B.串行C.復(fù)用A.存儲(chǔ)原理B.結(jié)構(gòu)C.性能??粕谀┰嚲硭倪x擇題(每小題1分,共20分)1946年研制成功的第一臺(tái)電子數(shù)字計(jì)算機(jī)稱為_B,1949年研制成功的第一臺(tái)程序內(nèi)存的計(jì)算機(jī)稱為。A.EDVAC,MARKIB.ENIAC,EDSACC.ENIAC,MARKID.ENIAC,UNIVACI.至今為止,計(jì)算機(jī)中的所有信息仍以二進(jìn)制方式表示的理由是_C_。A.節(jié)約元件B.運(yùn)算速度快C.物理器件性能決定D.信息處理方便.(2000)10化成十六進(jìn)制數(shù)是—B-。A.(7CD)16B.(7D0)16C.(7E0)16D.(7F0)16.下列數(shù)中最大的數(shù)是_B_。A.(10010101)2B.(227)8C。(96)16D.(143)5.運(yùn)算器雖有許多部件組成,但核心部分是B。A.數(shù)據(jù)總線B.算術(shù)邏輯運(yùn)算單元C.多路開關(guān)D.累加寄存器.根據(jù)標(biāo)準(zhǔn)規(guī)定,每個(gè)漢字在計(jì)算機(jī)內(nèi)占用_B存儲(chǔ)。A.一個(gè)字節(jié)B.二個(gè)字節(jié)C.三個(gè)字節(jié)D.四個(gè)字節(jié).存儲(chǔ)單元是指A。A.存放一個(gè)機(jī)器字的所有存儲(chǔ)元 B.存放一個(gè)二進(jìn)制信息位的存儲(chǔ)元C.存放一個(gè)字節(jié)的所有存儲(chǔ)元的集合D.存放兩個(gè)字節(jié)的所有存儲(chǔ)元的集合.機(jī)器字長(zhǎng)32位,其存儲(chǔ)容量為4MB,若按字編址,它的尋址范圍是—A_?A.1MB.1MBC.4MD.4MB.某一SRAM芯片,其容量為512x8位,考慮電源端和接地端,該芯片引出線的最小數(shù)目應(yīng)為_D .A.23B.25C.50 D.19.寄存器間接尋址方式中,操作數(shù)處在_D—。A.通用寄存器 B.程序計(jì)數(shù)器C.堆棧D.主存單元11.描述匯編語(yǔ)言特性的概念中,有錯(cuò)誤的句子是_COA.對(duì)程序員的訓(xùn)練要求來(lái)說(shuō),需要硬件知識(shí)B.匯編語(yǔ)言對(duì)機(jī)器的依賴性高C.用匯編語(yǔ)言編制程序的難度比高級(jí)語(yǔ)言小D.匯編語(yǔ)言編寫的程序執(zhí)行速度比高級(jí)語(yǔ)言快.在CPU中跟蹤指令后繼地址的寄存器是—B―oA.主存地址寄存器B.程序計(jì)數(shù)器C.指令寄存器D.狀態(tài)條件寄存器.下面描述RISC機(jī)器基本概念中,正確的表述是_BA.RISC機(jī)器不一定是流水CPU B.RISC機(jī)器一定是流水CPUC.RISC機(jī)器有復(fù)雜的指令系統(tǒng) D.其CPU配備很少的通用寄存器.多總線結(jié)構(gòu)的計(jì)算機(jī)系統(tǒng),采用一A_方法,對(duì)提高系統(tǒng)的吞吐率最有效。A.多端口存儲(chǔ)器B.提高主存速度C.交叉編址多模塊存儲(chǔ)器D.cache.以下四種類型指令中,執(zhí)行時(shí)間最長(zhǎng)的是_CoA.RR型指令B.RS型指令C.SS型指令D.程序控制指令.信息只用一條傳輸線,且采用脈沖傳送的方式稱為_A。A.串行傳送B.并行傳送C.并串型傳送D.分時(shí)傳送.描述PCI總線中基本概念不正確的是—D_。PCI總線是一個(gè)與處理器無(wú)關(guān)的高速外圍總線PCI總線的基本傳輸機(jī)制是猝發(fā)式傳輸PCI設(shè)務(wù)不一定是主設(shè)備D.系統(tǒng)中只允許有一條PCI總線.帶有處理器的設(shè)備一般稱為_A設(shè)備。A.智能化B.交互式C.遠(yuǎn)程通信D.過(guò)程控制.發(fā)生中斷請(qǐng)求的可能條件是_BCDoA.一條指令執(zhí)行結(jié)束 B.一次I/O操作開始C.機(jī)器內(nèi)部發(fā)生故障 D.一?次DMA操作開始.采用DMA方式傳送數(shù)據(jù)時(shí),每傳送一個(gè)數(shù)據(jù)就要用一個(gè)_A_時(shí)間。A.指令周期B.機(jī)器周期C.存儲(chǔ)周期D.總線周期二.填空題(每空1分,共20分)1.目前的CPU包括A.、B.和cache..漢字的A.、B.、C.是計(jì)算機(jī)用于漢字輸入、內(nèi)部處理、輸出三種不同用途的編碼。.存儲(chǔ)器的技術(shù)指標(biāo)有存儲(chǔ)容量、存取時(shí)間、A.和B.。.雙端口存儲(chǔ)器和多模塊交叉存儲(chǔ)器屬于A.存儲(chǔ)器結(jié)構(gòu)。前者采用B.技術(shù),后者采用C.技術(shù)。.堆棧是一種特殊的數(shù)據(jù)尋址方式,它采用A.原理。按結(jié)構(gòu)不同,分為B.堆棧和C.堆棧。.多媒體CPU是帶有A.技術(shù)的處理器。它是一種B.技術(shù),特別適合于圖像數(shù)據(jù)處理。.按照總線仲裁電路的位置不同,可分為A.仲裁和B.仲裁。.DMA控制器訪采用以下三種方法:A.、B.、C.。答案:A.控制器B.運(yùn)算器A.輸入編碼B.內(nèi)碼C.字模碼A.存儲(chǔ)周期B.存儲(chǔ)器帶寬A.并行B.空間并行C.時(shí)間并行A.先進(jìn)后出B.寄存器C.存儲(chǔ)器A.MMXB.多媒體擴(kuò)展結(jié)構(gòu)A.集中式B.分布式A.停止CPU訪問B.周期挪用C.DMA和CPU交替訪內(nèi)??粕谀┰嚲砦暹x擇題(每小題1分,共20分).對(duì)計(jì)算機(jī)的產(chǎn)生有重要影響的是_B。A.牛頓維納圖靈B.萊布尼茲布爾圖靈C.巴貝奇維納麥克斯韋D.萊布尼茲布爾克雷.定點(diǎn)16位字長(zhǎng)的字,采用2的補(bǔ)碼形式表示時(shí),一個(gè)字所能表示的整數(shù)范圍是A。A.-215—215-1B.-215-1—215-1C.-215+1—215D.-215—215.下列數(shù)中最小的數(shù)是_A_。A.(101001)2B.(52)8C.(2B)16D.(44)10.已知X<0且[X]原=X0.X1X2…Xn,則[X]補(bǔ)可通過(guò)__C求得。A.各位求反,末位加1B.求補(bǔ)C.除X0外各位求反末位加1D.[X]反-1.運(yùn)算器雖有許多部件組成,但核心部件是_B.A.數(shù)據(jù)總線B.算術(shù)邏輯運(yùn)算單元C.多路開關(guān)D.累加寄存器.EPROM是指_D_.A.讀寫存儲(chǔ)器B.只讀存儲(chǔ)器C.可編程的只讀存儲(chǔ)起器D.光擦除可編程的只讀存儲(chǔ)器.某計(jì)算機(jī)字長(zhǎng)32位,其存儲(chǔ)容量為4MB,若按半字編址,它的尋址范圍是_C。A.0—4MBB.0—2MBC.0—2MD.0—1M.雙端口存儲(chǔ)器所以能高速進(jìn)行讀寫,是因?yàn)椴捎谩狟_。A.高速芯片B.兩套相互獨(dú)立的讀寫電路C.流水技術(shù)D.新型器件.單地址指令中為了完成兩個(gè)數(shù)的算術(shù)運(yùn)算,除地址碼指明的一個(gè)操作數(shù)外,另一個(gè)數(shù)常需采用—C。A.堆棧尋址方式B.立即尋址方式C.隧含尋址方式D.間接尋址方式.指令周期是指 C oA.CPU從主存取出一條指令的時(shí)間 B.CPU執(zhí)行一條指令的時(shí)間C.CPU從主存取出一條指令加I:執(zhí)行這條指令的時(shí)間D.時(shí)鐘周期時(shí)間.同步控制是 C-A.只適用于CPU控制的方式 B.只適用于外圍設(shè)備控制的方式C.由統(tǒng)一時(shí)序信號(hào)控制的方式 D.所有指令控制時(shí)間都相同的方式.從信息流的傳送效率來(lái)看,_B工作效率最低。A.三總線系統(tǒng)B.單總線系統(tǒng)C.雙總線系統(tǒng)D.多總線系統(tǒng).一個(gè)256Kk8的DRAM芯片,其地址線和數(shù)據(jù)線總和為CA.16B.18C.26D.30.算術(shù)右移指令執(zhí)行的操作是—B—。A.符號(hào)位填0,并順次右移1位,最低位移至進(jìn)位標(biāo)志位B.符號(hào)位不變,并順次右移1位,最低位移至進(jìn)位標(biāo)志位C.進(jìn)位標(biāo)志位移至符號(hào)位,順次右移1位,最低位移至進(jìn)位標(biāo)忐位D.符號(hào)位填1,并順次右移1位,最低位移至進(jìn)位標(biāo)志位.微程序控制器中,機(jī)器指令與微指令的關(guān)系是_A。A.每一條機(jī)器指令由一段微指令編成的微程序來(lái)解釋執(zhí)行B.每一條機(jī)器指令由一條微指令來(lái)執(zhí)行一段機(jī)器指令組成的程序可由一條微指令來(lái)執(zhí)行一條微指令由若干條機(jī)器指令組成.以下描述中基本概念不正確的是_B。PCI總線是層次總線PCI總線采用異步時(shí)序協(xié)議和分布式仲裁策略Futurebus+總線能支持64位地址Futurebus+總線適合于高成本的較大規(guī)模計(jì)算機(jī)系統(tǒng).計(jì)算機(jī)的外圍設(shè)備是指_D。A.輸入/輸出設(shè)備B.外存儲(chǔ)器C.遠(yuǎn)程通信設(shè)備D.除了CPU和內(nèi)存以外的其它設(shè)備.CRT的顏色數(shù)為256色,則刷新存儲(chǔ)器每個(gè)單元的字長(zhǎng)是__C—。A.256位B.16位C.8位D.7位.通道對(duì)CPU的請(qǐng)求形式是_B_oA.自陷B.中斷C.通道命令D.跳轉(zhuǎn)指令.中斷向量地址是—C。A.子程序入口地址 B,中斷服務(wù)例行程序入口地址C.中斷服務(wù)例行程序人口地址的指示器D.中斷返回地址二.填空題(每空1分,共20分).按IEEE754標(biāo)準(zhǔn),一個(gè)浮點(diǎn)數(shù)由A.、B.、C.三個(gè)域組成。.閃速存儲(chǔ)器能提供高性能、低功耗、高可靠性以及A.能力,因此作為B.用于便攜式電腦中。.尋址方式按操作數(shù)的物理位置不同,多使用A. 型和B. 型,前者比后者執(zhí)行速度快。.堆棧是一種特殊的A.尋址方式,它采用B.原理。按構(gòu)造不同,分為寄存器堆棧和C.堆棧。5"當(dāng)今的CPU芯片除了包括定點(diǎn)運(yùn)算器和控制器外,還包括A.、B.、運(yùn)算器和C.管理等部件。.奔騰CPU中L2級(jí)cache的內(nèi)容是A.的子集,而B.內(nèi)容又是L2級(jí)cache的子集。.為了解決多個(gè)A.同時(shí)競(jìng)爭(zhēng)總線B.,必須具有C.部件。.并行I/O接口A.和串行I/O接口B.是兩個(gè)目前最具權(quán)威性和發(fā)展前景的標(biāo)準(zhǔn)接口。答案:A.符號(hào)位B.階碼C.尾數(shù)A.瞬時(shí)啟動(dòng)B.固態(tài)盤A.RRB.RSA.數(shù)據(jù)B.先進(jìn)后出C.存儲(chǔ)器A.cacheB.浮點(diǎn)C.存儲(chǔ)A.主存B.L1級(jí)each?A.主設(shè)備B.控制權(quán)C.總線仲裁A.SCSIB.IEEE1394??粕谀┰嚲砹?選擇題(每小題1分,共20分).我國(guó)在—D一年研制成功了第一臺(tái)電子數(shù)字計(jì)算機(jī),第一臺(tái)晶體管數(shù)字計(jì)算機(jī)于年完成。A.1946,1958 B.1950,1968C.1958,1961 D.1959,1965.目前大多數(shù)集成電路生產(chǎn)中,所采用的基本材料為一A_oA.單晶硅 B.非晶硅C.睇化鋁 D.硫化鎘.下列數(shù)中最大的數(shù)是_A_。A.(100110001)2B.(227)8C.(98)16 D.(152)10.—D_表示法主要用于表示浮點(diǎn)數(shù)中的階碼。A.原碼B.補(bǔ)碼C.反碼D.移碼.用32位字長(zhǎng)(其中1位符號(hào)位)表示定點(diǎn)小數(shù)時(shí),所能表示的數(shù)值范圍是—B—。A.0W|N|^1-2-32 B.|N|^1-2-31C.0W|N|^1-2-30 D.|N|^1-2-29.定點(diǎn)運(yùn)算器用來(lái)進(jìn)行_B—。A.十進(jìn)制數(shù)加法運(yùn)算 B.定點(diǎn)數(shù)運(yùn)算C.浮點(diǎn)數(shù)運(yùn)算 D.即進(jìn)行定點(diǎn)數(shù)運(yùn)算也進(jìn)行浮點(diǎn)數(shù)運(yùn)算.某SRAM芯片,其存儲(chǔ)容量為64Kxi6位,該芯片的地址線和數(shù)據(jù)線數(shù)目為_D_。A.64,16 B.16,64C.64,8D.16,16.閃速存儲(chǔ)器稱為—B―。A.光盤 B.固態(tài)盤 C.硬盤D.軟盤.二地址指令中,操作數(shù)的物理位置不可能安排在_A。A.棧頂和次棧頂 B.兩個(gè)主存單元C.一個(gè)主存和一個(gè)寄存器 D.兩個(gè)寄存器10.堆棧尋址方式中,設(shè)A為累加寄存器,SP為堆棧指示器,Msp為SPB指示器的棧頂單元,如果操作的動(dòng)作是:(A)-Msp,(SP)-1-?SP,那么出棧操作的動(dòng)作為:A.(Msp)-A,(SP)+1-SPB.(SP)+1-SP,(Msp)-A(SP)-1-SP,(Msp)fAD.(Msp)-A,(SP)-1-SP.中央處理器(CPU)是包含C_.A.運(yùn)算器 B,控制器C.運(yùn)算器.控制器和cache D.運(yùn)算器、控制器和主存儲(chǔ)器.指令寄存器的作用是—B—。A.保存當(dāng)前指令的地址 B.保存當(dāng)前正在執(zhí)行的指令C.保存下一條指令D.保存上一條指令.下面描述的RISC機(jī)器基本概念中正確的表達(dá)是_B.RISC機(jī)器不一定是流水CPURISC機(jī)器一定是流水CPURISC機(jī)器有第雜的指令系統(tǒng)CPU配備很少的通用寄存器.在_A的微型計(jì)算機(jī)中,外設(shè)可以和主存儲(chǔ)器單元統(tǒng)一編址,因此可以不使用I/O指令。A.單總線B.雙總線C.三總線D.多總線.描述當(dāng)代流行總線結(jié)構(gòu)中基本概念不正確的句子是_C.A.當(dāng)代流行總線結(jié)構(gòu)是標(biāo)準(zhǔn)總線B.當(dāng)代總線結(jié)構(gòu)中,CPU和它私有的cache一起作為一個(gè)模塊與總線相連C.系統(tǒng)中只允許有一個(gè)這樣的模塊PCI總線體系中有三種橋,它們都是PCI設(shè)備.磁盤驅(qū)動(dòng)器向盤片磁層記錄時(shí)采用—B—方式寫入。A.并行B.串行C.并一串行D.串一并行.一臺(tái)計(jì)算機(jī)對(duì)n個(gè)數(shù)據(jù)源進(jìn)行分時(shí)采集,送入主存,然后分時(shí)處理。采集數(shù)據(jù)時(shí)最好方案是使用_DoA.堆棧緩沖區(qū) B.一個(gè)指針的緩沖區(qū)C.兩個(gè)指針的單緩沖區(qū) D,n個(gè)指針的n個(gè)緩沖區(qū).為了便于實(shí)現(xiàn)多級(jí)中斷,保存現(xiàn)場(chǎng)信息最有效的方法是采用—B―oA.通用寄存器 B.堆棧C.存儲(chǔ)器D.外存.下述I/O控制方式中,_B主要由程序?qū)崿F(xiàn)。A.PPU(外圍處理機(jī)) B.中斷方式C.DMA方式 D.通道方式20.在下述指令中,C 指令包含的周期數(shù)最多。A.RR型B.RS型C.SS型D.零地址指令二.填空題(每空1分,共20分).計(jì)算機(jī)軟件一般分為兩大類:一類叫A.,另一類叫B.。操作系統(tǒng)屬于C.類。.一位十進(jìn)制數(shù),用BCD碼表示需要A. 位二進(jìn)制碼,用ASCII碼表示需要B.位二進(jìn)制碼。.虛擬存儲(chǔ)器指的是A.層次,它給用戶提供了一個(gè)比實(shí)際B. 空間大的多C. 空間。.不同機(jī)器有不同的A.,RISC指令系統(tǒng)是B.指令系統(tǒng)的改進(jìn)。.流水CPU中的主要問題是A.相關(guān)、B.相關(guān)和C.相關(guān),為此需要采用相應(yīng)的技術(shù)對(duì)策,才能保證流水暢通而不斷流。.總線同步定時(shí)協(xié)議中,事件出現(xiàn)在總線的時(shí)刻由A.信號(hào)確定,總線周期的長(zhǎng)度是B.的。.不同的CRT顯示標(biāo)準(zhǔn)所支持的最大A.和B.數(shù)H是不同的。.數(shù)組多路通道允許A.個(gè)設(shè)備進(jìn)行B.型操作,數(shù)據(jù)傳送單位是C.o答案:1.系統(tǒng)軟件應(yīng)用軟件系統(tǒng)軟件2.47.主存一外存主存虛擬地址.指令系統(tǒng)CISC.資源數(shù)據(jù)控制.總線時(shí)鐘固定.分辨率顏色.1(單)傳輸數(shù)據(jù)塊??粕谀┰嚲砥咭?選擇題(每小題1分,共20分).目前的計(jì)算機(jī)中,代碼形式是C。A.指令以二進(jìn)制形式存放,數(shù)據(jù)以十進(jìn)制形式存放B.指令以十進(jìn)制形式存放,數(shù)據(jù)以二進(jìn)制形式存放C.指令和數(shù)據(jù)都以二進(jìn)制形式存放D.指令和數(shù)據(jù)都以十進(jìn)制形式存放.完整的計(jì)算機(jī)系統(tǒng)應(yīng)包括_D.A.運(yùn)算器存儲(chǔ)器控制器B.外部設(shè)備和主機(jī)C.主機(jī)和應(yīng)用程序 D.配套的硬件設(shè)備和軟件系統(tǒng).下列數(shù)中最大的是—B_。A.(10010101)2B.(227)8C.(96)16D.(143)10.設(shè)寄存器位數(shù)為8位,機(jī)器數(shù)采用補(bǔ)碼形式(一位符號(hào)位),對(duì)應(yīng)于十進(jìn)制數(shù)-27,寄存器內(nèi)為—C—。A.(27)16B.(9B)16C.(E5)16D.(5A)16.計(jì)算機(jī)的存儲(chǔ)器系統(tǒng)是指一D_。A.RAM存儲(chǔ)器B.ROM存儲(chǔ)器C.主存儲(chǔ)器D.主存儲(chǔ)器和外存儲(chǔ)器.算術(shù)/邏輯運(yùn)算單元74181ALU可完成一C_。A.16種算術(shù)運(yùn)算功能 B.16種邏輯運(yùn)算功能C.16種算術(shù)運(yùn)算功能和16種邏輯運(yùn)算功能 D.4位乘法運(yùn)算功能和除法運(yùn)算功能.某機(jī)字長(zhǎng)32位,存儲(chǔ)容量1MB,若按字編址,它的尋址范圍是_C.A.1MBB.512KBC.256KD.256KB.常用的虛擬存儲(chǔ)系統(tǒng)由_A_兩級(jí)存儲(chǔ)器組成。A.主存一輔存B.快存一主存C.快存一輔存D.通用寄存器一主存.變址尋址方式中,操作數(shù)的有效地址等于—C—。A.基值寄存器內(nèi)容加上形式地址 B.堆棧指示器內(nèi)容加上形式地址C.變址寄存器內(nèi)容加上形式地址D.程序計(jì)數(shù)器內(nèi)容加上形式地址.在虛擬存儲(chǔ)器中,當(dāng)程序正在執(zhí)行時(shí),由_D_完成地址映射。A.程序員B.編譯器C.裝入程序D.操作系統(tǒng).由于CPU內(nèi)部的操作速度較快,而CPU訪問一次主存所花的時(shí)間較長(zhǎng),因此機(jī)器周期通常用A來(lái)規(guī)定。A.主存中讀取一個(gè)指令字的最短時(shí)間B.主存中讀取一個(gè)數(shù)據(jù)字的最長(zhǎng)時(shí)間C.主存中寫入一個(gè)數(shù)據(jù)字的平均時(shí)間D.主存中取一個(gè)數(shù)據(jù)字的平均時(shí)間.異步控制常用于_A_作為其主要控制方式。A.在單總線結(jié)構(gòu)計(jì)算機(jī)中訪問主存與外圍設(shè)備時(shí)B.微型機(jī)的CPU控制中C.組合邏輯控制的CPU中 D.微程序控制器中.描述流水CPU基本概念中,正確表述的句子是_D。A.流水CPU是以空間并行性為原理構(gòu)造的處理器B.流水CPU一定是RISC機(jī)器C.流水CPU一定是多媒體CPUD.流水CPU是一種非常經(jīng)濟(jì)而實(shí)用的時(shí)間并行技術(shù).多總線結(jié)構(gòu)的計(jì)算機(jī)系統(tǒng)采用 A方法,對(duì)提高系統(tǒng)的吞吐率最有效。A.多端口存儲(chǔ)器B.提高主存的速度C.交叉編址多模存儲(chǔ)器D.高速緩沖存儲(chǔ)器.描述PCI總線中基本概念正確的句子是一B—oPCI總線是一個(gè)與處理器有關(guān)的高速外圍總線PCI總線的基本傳輸機(jī)制是猝發(fā)式傳輸PCI設(shè)備不是主設(shè)備D.系統(tǒng)中只允許有一條PCI總線.當(dāng)采用—A_對(duì)設(shè)備進(jìn)行編址情況下,不需要專門的I/O指令組。A.統(tǒng)一編址法B.單獨(dú)編址法C.兩者都是D.兩者都不是.CRT的分辨率為1024x1024像素,像素顏色數(shù)為256,則刷新存儲(chǔ)器的容量是—B_?A.512KBB.1MBC.256KBD.2MB.一張3.5英寸軟盤的存儲(chǔ)容量為 A,每個(gè)扇區(qū)存儲(chǔ)的固定數(shù)據(jù)是。A.1.44MB512BB.1MB1024BC.2MB256BD.1.44MB512KB.下面敘述的概念中一B一是正確的.A.總線一定要和接口相連 B.接口一定要和總線相連C.通道可以代替接口D.總線始終由CPU控制和管理IEEE1394的高速特性適合于新型高速硬盤和多媒體數(shù)據(jù)傳輸,它的數(shù)據(jù)傳輸率可以是_ABC .A.100兆位/秒B.200兆位/秒C.400兆位/秒 D.300兆位/秒二.填空題(每空1分,共20分)1.2000年,超級(jí)計(jì)算機(jī)最高浮點(diǎn)運(yùn)算速度達(dá)到每秒A.次,我國(guó)的B.號(hào)計(jì)算機(jī)的運(yùn)算速度達(dá)到3840億次,使我國(guó)成為C.之后第三個(gè)擁有高速計(jì)算機(jī)的國(guó)家。.存儲(chǔ)A.并按B.順序執(zhí)行,這是馮?諾依曼型計(jì)算機(jī)的工作原理。.移碼表示法主要用于表示浮點(diǎn)數(shù)的A.碼,以利于比較兩個(gè)B.數(shù)的大小和進(jìn)行C.操作..廣泛使用的A.和B.都是半導(dǎo)體隨機(jī)讀寫存儲(chǔ)器,它們共同的缺點(diǎn)是C。.多個(gè)用戶共享主存時(shí),系統(tǒng)應(yīng)提供A.。通常采用的方法是B.保護(hù)和C.保護(hù),并用硬件來(lái)實(shí)現(xiàn)。.形成指令尋址的方式,稱為指令尋址方式,有順序?qū)ぶ泛虯.尋址兩種,使用B.來(lái)跟蹤。.多媒體CPU是帶有A.技術(shù)的處理器,它是一種多媒體擴(kuò)展結(jié)構(gòu)技術(shù),特別適合于.處理。8.字節(jié)多路通道可允許多個(gè)設(shè)備進(jìn)行A. 型操作,數(shù)據(jù)傳送單位是B.。答案:A.1萬(wàn)億B.神威C.美國(guó)、日本A.程序B.地址A.階碼B.指C.對(duì)階A.SRAMB.DRAMC.斷電后不能保存信息A.存儲(chǔ)保護(hù)B.存儲(chǔ)區(qū)域C.訪問方式A.跳躍B.程序計(jì)數(shù)器A.MMXB.圖像數(shù)據(jù)A.傳輸B.字節(jié)??粕谀┰嚲戆诉x擇題(每題1分,共20分).我國(guó)在—D—年研制成功了第一臺(tái)電子數(shù)字計(jì)算機(jī),第一臺(tái)晶體管數(shù)字計(jì)算機(jī)于年完成。A.19461958B.19501968C.19581961D.19591965.Pentium微型計(jì)算機(jī)中乘除法部件位于_A中。A.CPUB.接口C.控制器D.專用芯片.沒有外存儲(chǔ)器的計(jì)算機(jī)初始引導(dǎo)程序可以放在_B。A.RAMB.ROMC.RAM和ROMD.CPU.下列數(shù)中最小的數(shù)是_A_.A.(101001)2B.(52)8C.(2B)16D.(44)10.在機(jī)器數(shù)_BC_中,零的表示形式是唯一的。A.原碼B.補(bǔ)碼C.移碼D.反碼.在定點(diǎn)二進(jìn)制運(yùn)算器中,減法運(yùn)算一般通過(guò)—D_來(lái)實(shí)現(xiàn)。A.原碼運(yùn)算的二進(jìn)制減法器 B.補(bǔ)碼運(yùn)算的二進(jìn)制減法器C.補(bǔ)碼運(yùn)算的十進(jìn)制加法器D.補(bǔ)碼運(yùn)算的二進(jìn)制加法器.下列有關(guān)運(yùn)算器的描述中—D_是正確的。A.只作算術(shù)運(yùn)算,不作邏輯運(yùn)算B.只作加法C.能暫時(shí)存放運(yùn)算結(jié)果 D.以上答案都不對(duì).某DRAM芯片,其存儲(chǔ)容量為512Kx8位,該芯片的地址線和數(shù)據(jù)線數(shù)目為—D—。A.8,512B,512,8C.18,8D。19,8.相聯(lián)存儲(chǔ)器是按_C進(jìn)行尋址的存儲(chǔ)器。A.地址指定方式 B.堆棧存取方式C.內(nèi)容指定方式 D.地址指定與堆棧存取方式結(jié)合.指令系統(tǒng)中采用不同尋址方式的目的主要是_B_.A.實(shí)現(xiàn)存儲(chǔ)程序和程序控制B.縮短指令長(zhǎng)度,擴(kuò)大尋址空間,提高編程靈活性C.可以直接訪問外存D.提供擴(kuò)展操作碼的可能并降低指令譯碼難度.堆棧尋址方式中,設(shè)A為累加寄存器,SP為堆棧指示器,Msp為SPB指示器的棧頂單元,如果操作的動(dòng)作是:(A)>Msp,(SP) 那么出棧操作的動(dòng)作為:A.(Msp)",(SP)+OSPB.(SP)+—SP,(Msp)-AC.(SP)-1->SP,(Msp)IAD.(Msp)-A,(SP)-1-SP.在CPU中跟蹤指令后繼地址的寄存器是_BoA.主存地址寄存器B.程序計(jì)數(shù)器C.指令寄存器D.狀態(tài)條件寄存器.描述多媒體CPU基本概念中正確表述的句子是A?A.多媒體CPU是帶有MMX技術(shù)的處理器B.多媒體CPU是非流水線結(jié)構(gòu)C.MMX指令集是一種單指令流單數(shù)據(jù)流的串行處理指令D.多媒體CPU一定是CISC機(jī)器.描述Futurebus+總線中基本概念正確的表述是—C_。A.Futurebus+總線是一個(gè)高性能的同步總線標(biāo)準(zhǔn)B.基本上是一個(gè)同步數(shù)據(jù)定時(shí)協(xié)議C.它是一個(gè)與結(jié)構(gòu)、處理器技術(shù)有關(guān)的開發(fā)標(biāo)準(zhǔn)D.數(shù)據(jù)線的規(guī)模不能動(dòng)態(tài)可變.在_A_的微型計(jì)算機(jī)系統(tǒng)中,外設(shè)可以和主存儲(chǔ)器單元統(tǒng)一編址,因此可以不用I/O指令。A.單總線B.雙總線C.三總線D.多總線.用于筆記本電腦的大容量存儲(chǔ)器是_CD。A.軟磁盤B.硬磁盤C.固態(tài)盤D.磁帶.具有自同步能力的記錄方式—C_.A.NRZOB.NRZ1C.PMD.MFM._A不是發(fā)生中斷請(qǐng)求的條件。A.一條指令執(zhí)行結(jié)束 B.一次I/O操作結(jié)束C.機(jī)器內(nèi)部發(fā)生故障 D.一次DMA操作結(jié)束.采用DMA方式傳送數(shù)據(jù)時(shí),每傳送一個(gè)數(shù)據(jù)就要用一個(gè)_CoA.指令周期B.數(shù)據(jù)周期C.存儲(chǔ)周期D.總線周期.并行I/O標(biāo)準(zhǔn)接口SCSI中,一塊主適配器可以連接_B_臺(tái)具有SCSI接口的設(shè)備。A.6B.7~15C.8D.10二.填空題(每空1分,共20分).在計(jì)算機(jī)術(shù)語(yǔ)中,將A.和B.和在一起稱為CPU,而將CPU和C.合在一起稱為主機(jī)。.計(jì)算機(jī)軟件一般分為兩大類:一類叫A.,另一類叫B..操作系統(tǒng)屬于C.類。.主存儲(chǔ)器容量通常以MB表示,其中M=A.B=B.;硬盤容量通常以GB表示,其中G=C.<>.CPU能直接訪問A.和B.,但不能直接訪問磁盤和光盤。.指令字長(zhǎng)度有A.、B.、C.三種形式。.計(jì)算機(jī)系統(tǒng)中,根據(jù)應(yīng)用條件和硬件資源不同,數(shù)據(jù)傳輸方式可采用A.傳送、B.傳送、C.傳送。.通道是一個(gè)特殊功能的A.,它有自己的B.專門負(fù)責(zé)數(shù)據(jù)輸入輸出的傳輸控制。.并行I/O接口A.和串行I/。接口B.是目前兩個(gè)最具有權(quán)威性的標(biāo)準(zhǔn)接口技術(shù)。答案:A.運(yùn)算器B.控制器C.存儲(chǔ)器A.系統(tǒng)程序B.應(yīng)用程序C.系統(tǒng)程序A.220B.8位(1個(gè)字節(jié))C.230A.cacheB.主存A.單字長(zhǎng)B.半字長(zhǎng)C.雙字長(zhǎng)A.并行B.串行C.曳用A.處理器B.指令和程序A.SCSIB.IEEE1394??粕谀┰嚲砭乓?選擇題(每小題1分,共20分).至今為止,計(jì)算機(jī)中的所有信息以二進(jìn)制方式表示的理由是_c0A.節(jié)約元件B.運(yùn)算速度快C.物理器件性能決定D.信息處理方便.某寄存器中的值有時(shí)是地址,因此只有計(jì)算機(jī)的—C—才能識(shí)別它。A.譯碼器B.判別程序C.指令D.時(shí)序信號(hào).下列數(shù)中最大的數(shù)是—B—。A.(10010101)2B.(227)8C.(96)16D.(143)10.在定點(diǎn)運(yùn)算器中,無(wú)論采用雙符號(hào)位還是單符號(hào)位,必須有一C—,它一般用來(lái)實(shí)現(xiàn)。A.譯碼電路,與非門 B.編碼電路,或非門C.溢出判斷電路,異或門D.移位電路,與或非門5,按其數(shù)據(jù)流的傳送過(guò)程和控制節(jié)柏來(lái)看,陣列乘法器可認(rèn)為是_B。A.全串行運(yùn)算的乘法器 B.全并行運(yùn)算的乘法器C.串一并行運(yùn)算的乘法器 D.并一串行運(yùn)算的乘法器6.以下描述中正確的是_AC.A.浮點(diǎn)運(yùn)算器可用階碼部件和尾數(shù)部件來(lái)實(shí)現(xiàn)。B.階碼部件可實(shí)現(xiàn)加,減,乘,除四種運(yùn)算。C.階碼部件只進(jìn)行階碼相加,相減和比較操作。D.尾數(shù)部件只進(jìn)行乘法和除法運(yùn)算。.某計(jì)算機(jī)字長(zhǎng)16位,它的存儲(chǔ)容量是64MB,若按雙字編址,那么它的尋址范圍是_D。A.4MB.2MC.64MD.32M.以下四種類型的半導(dǎo)體存儲(chǔ)器中,若以傳輸同樣多的字為比較條件,則讀出數(shù)據(jù)傳輸率最高的是C。A.DRAMB.SRAMC.閃速存儲(chǔ)器D.EPROM.二地址指令中,操作數(shù)的物理位置可安排在_BCD。A.棧頂和次棧頂B.兩個(gè)主存單元C.一個(gè)主存單元和一個(gè)存儲(chǔ)器D.兩個(gè)寄存器.程序控制類指令的功能是_D。A.進(jìn)行算術(shù)運(yùn)算和邏輯運(yùn)算 B.進(jìn)行主存于CPU之間的數(shù)據(jù)傳送C.進(jìn)行CPU和I/O設(shè)備之間的數(shù)據(jù)傳送D.改變程序執(zhí)行的順序.廣泛應(yīng)用的PentiumIII是一種 D ?A.8位CPUB.16位CPUC.32位CPUD.64位CPU.同步控制是C。A.只適用于CPU控制的方式 B.只適用于外圍設(shè)備控制的方式C.由統(tǒng)一時(shí)序信號(hào)控制的方式 D.所有指令執(zhí)行時(shí)間都相同的方式.請(qǐng)?jiān)谝韵聰⑹鲋羞x處兩個(gè)正確描述的句子是_D。1同一個(gè)CPU周期中,可以并行執(zhí)行的微操作叫相容微操作。2同一個(gè)CPU周期中,不可以并行執(zhí)行的微操作叫相容微操作3同一個(gè)CPU周期中,可以并行執(zhí)行的微操作叫相斥微操作4同一個(gè)CPU周期中,不可以并行執(zhí)行的微操作叫相斥微操作A.13B.24C.23D.14.從信息流的傳送效率來(lái)看,—B_工作效率最低。A.三總線系統(tǒng) B.單總線系統(tǒng)C,雙總線系統(tǒng)D.多總線系統(tǒng).三種集中式總線仲裁方式中,―C—方式速度最快。A.鏈?zhǔn)讲樵?B.計(jì)數(shù)器定時(shí)查詢 C.獨(dú)立查詢.描述PCI總線中基本概念不正確的句子是_C。HOST總線不僅連接主存,還可以連接多個(gè)CPU.PCI總線體系中有三種橋,它們都是PCI設(shè)備。C.以橋連接實(shí)現(xiàn)的PCI總線結(jié)構(gòu)不允許多條總線并行工作。D.橋的作用可使有的存取都接CPU的需要出現(xiàn)在總線匕.在微型機(jī)系統(tǒng)中,外圍設(shè)備通過(guò)—A_與主板的系統(tǒng)總線相連接。A.適配器B.設(shè)備控制器C.計(jì)數(shù)器D.寄存器.一張CD-ROM光盤的存儲(chǔ)容量可達(dá)_BMB,相當(dāng)于多張1.44MB的3.5英寸軟盤。A.400,600B.600,400C.200,400D.400,200.中斷向量地址是—C_.A.子程序入口地址 B.中斷服務(wù)例行程序入口地址C.中斷服務(wù)例行程序入口地址的指示器 D.中斷返回地址.周期挪用方式常用于_A_方式的輸入/輸出中。A.DMAB.中斷 C.程序傳送 D.通道二.填空題(每空1分,共20分).存儲(chǔ)A.并按B.順序執(zhí)行,這是馮.諾依曼型計(jì)算機(jī)的工作原理。.為了運(yùn)算器的高速性,采用了A.進(jìn)位,B.乘除法,C.等并行技術(shù)措施。.對(duì)存儲(chǔ)器的要求是A.,B.,C.,為了解決這三個(gè)方面的矛盾。計(jì)算機(jī)采用多級(jí)存儲(chǔ)器體系結(jié)構(gòu)。.尋址方式按操作數(shù)的物理位置不同,多使用A. 型和B. 型,前者比后者執(zhí)行速度快。.微程序設(shè)計(jì)技術(shù)是利用A.方法設(shè)計(jì)B.的一門技術(shù)。.總線仲裁部件通過(guò)采用A.策略或B.策略,選擇其中一個(gè)主設(shè)備作為總線的下一次C.,接管總線控制權(quán)。.中斷處理需要有中斷A.o中斷B.產(chǎn)生,中斷C.等硬件支持。.RISC的中文含義是A.,CISC的中文含義是B.o答案:A.程序B.地址A.先行B.陣列C.流水線A.容量大B.速度快C.成本低A.RRB.RSA.軟件B.操作控制器A.優(yōu)先級(jí)B.公平C.主方A.優(yōu)先級(jí)仲裁B.向量C.控制邏輯A.精簡(jiǎn)指令系統(tǒng)計(jì)算機(jī)B.復(fù)雜指令系統(tǒng)計(jì)算機(jī)??粕谀┰嚲硎x擇題(每小題1分,共20分).馮?諾依曼機(jī)工作方式的基本特點(diǎn)是_B。A.多指令流單數(shù)據(jù)流 B.按地址訪問并順序執(zhí)行指令C.堆棧操作 D.存儲(chǔ)器按內(nèi)容選擇地址.某機(jī)字長(zhǎng)32位。其中1位符號(hào)位,31位表示尾數(shù)。若用定點(diǎn)整數(shù)表示,則最大正整數(shù)為_A 。A.+(231-1)B.+(230-1)C.+(231+1)D.+(230+1).假設(shè)下列字符碼中有奇偶位校驗(yàn),但沒有數(shù)據(jù)錯(cuò)誤,采用偶校驗(yàn)的字符碼是一D-。A.11001011B.11010110C.11000001D.11001001.設(shè)區(qū)補(bǔ)=1.x1x2x3x4,當(dāng)滿足—A—時(shí),x>-1/2成立。A,x1必須為1,x2—x4至少有一個(gè)為1B.x1必須為1,x2—x4任意C.x1必須為0,x2—x4至少有一個(gè)為1D.x1必須為0,x2—x4任意.在主存和CPU之間增加cache存儲(chǔ)器的目的是_C。A.增加內(nèi)存容量 B.提高內(nèi)存的可靠性C.解決CPU與內(nèi)存之間的速度匹配問題D.增加內(nèi)存容量,同時(shí)加快存取速度.采用虛擬存儲(chǔ)器的主要目的是—B—。A,提高主存儲(chǔ)器的存取速度 B.擴(kuò)大存儲(chǔ)器空間,并能進(jìn)行自動(dòng)管理C,提高外存儲(chǔ)器的存取速度 D.擴(kuò)大外存儲(chǔ)器的存儲(chǔ)空間.存儲(chǔ)器是計(jì)算機(jī)系統(tǒng)的記憶設(shè)備,主要用于_D。A.存放程序B,存放軟件C.存放微程序D.存放程序和數(shù)據(jù).在指令的地址字段中,直接指出操作數(shù)本身的尋址方式,稱為—B―。A.隱含地址B.立即尋址C.寄存器尋址D.直接尋址.指令的尋址方式有順序和跳躍兩種方式,采用跳躍尋址方式,可以實(shí)現(xiàn)_D_。A.堆棧尋址 B.程序的條件轉(zhuǎn)移C.程序的無(wú)條件轉(zhuǎn)移 D.程序的條件轉(zhuǎn)移成無(wú)條件轉(zhuǎn)移.堆棧尋址方式中,沒A為累加器,SP為堆棧指示器,Msp為SP指示的棧頂單元.如果進(jìn)棧操作的動(dòng)作順序是(A)Msp,(SP)-13SP,那么出棧操作應(yīng)為—B-。A.(Msp)-*A,(SP)+1-SPB.(SP)+1fSP,(Msp)~AC.(SP)-1fSP,(Msp)-A D.(Msp)-A,(SP)-1-SP11.lntel80486是32位微處理器,pentium是_D 位處理器。A.16B.32C.48D.6412.指令周期是指一C—。CPU從主存取出一條指令的時(shí)間,CPU執(zhí)行一條指令的時(shí)間CPU從主存取出一條指令加上執(zhí)行這條指令的時(shí)間D.時(shí)鐘周期時(shí)間.指出下面描述匯編語(yǔ)言特性的句子中概念上有錯(cuò)誤的句子—C。A.對(duì)程序員的訓(xùn)練要求來(lái)說(shuō),需要硬件知識(shí)B.匯編語(yǔ)言對(duì)機(jī)器的依賴性高C.用匯編語(yǔ)言編制程序的難度比高級(jí)語(yǔ)言小D.匯編語(yǔ)言編寫的程序執(zhí)行速度比高級(jí)語(yǔ)言快.總線中地址線的用處是—D-。A.選擇主存單元地址 B,選擇進(jìn)行信息傳輸?shù)脑O(shè)備C.選擇外存地址 D.指定主存單元和I/O設(shè)備接口電路的選擇地址.異步控制常用于 A中,作為其主要控制方式。A.單總線結(jié)構(gòu)計(jì)算機(jī)中訪問主存與外圍設(shè)備。B,微型機(jī)中的CPU控制C,組合邏輯控制的CPUD,微程序控制器.在_A_的計(jì)算機(jī)系統(tǒng)中,外設(shè)可以和主存儲(chǔ)器單元統(tǒng)一編址,因此可以不使用I/O指令。A.單總線B.雙總線C三總線D.多總線.CD-ROM光盤是B_型光盤,可用做計(jì)算機(jī)的存儲(chǔ)器和數(shù)字化多媒體設(shè)備。A.重寫,內(nèi)B,只讀,外C.一次,外D.只讀,內(nèi).CPU響應(yīng)中斷時(shí),進(jìn)入“中斷周期”采用硬件方法保護(hù)并更新程序計(jì)數(shù)器PC內(nèi)容而不是由軟件完成,主要因?yàn)開A_。A.能進(jìn)入中斷處理程序并能正確返回原程序。B.節(jié)省內(nèi)存。C.提高處理機(jī)速度。D.易于編制中斷處理程序。.采用DMA方式傳送數(shù)據(jù)時(shí),每傳送一個(gè)數(shù)據(jù)就要占用—C-。A.一個(gè)指令周期 B.一個(gè)機(jī)器周期C.一個(gè)存儲(chǔ)周期 D.一個(gè)總線周期.CPU對(duì)通道的請(qǐng)求形式是—D—。A.自陷B.中斷C.通道命令D.I/O指令二.填空題(每空1分,共20分).計(jì)算機(jī)硬件包括A.,B.,C.,適配器,輸入/輸出設(shè)備。.按IEEE754標(biāo)準(zhǔn),一個(gè)浮點(diǎn)數(shù)的階碼E的值等于指數(shù)的A.加上一個(gè)固定的B...相聯(lián)存儲(chǔ)器是按A.訪問的存儲(chǔ)器,在cache中用來(lái)存放B.,在虛擬存儲(chǔ)器中用來(lái)存放C.。.不同機(jī)器有不同的A.。RISC指令系統(tǒng)是B.指令系統(tǒng)的改進(jìn)。.流水CPU中的主要問題是A.相關(guān),B.相關(guān)和C.相關(guān);為此需要采用相應(yīng)的技術(shù)對(duì)策,才能保證流水暢通而不斷流。.PCI總線是當(dāng)前流行的總線。它是一個(gè)高A. 且與B.無(wú)關(guān)的標(biāo)準(zhǔn)總線。.外圍設(shè)備大體分為輸入設(shè)備,輸出設(shè)備,A.設(shè)備,B.設(shè)備,C.設(shè)備五大類,.中斷處理過(guò)程可以嵌套進(jìn)行,A.的設(shè)備可以中斷B.的中斷服務(wù)程序。答案:A.運(yùn)算器B.存儲(chǔ)器C.控制器A.真值B.偏移量A.內(nèi)容B.行地址表C.段表、頁(yè)表和快表A.指令系統(tǒng)B.CISCA.資源B.數(shù)據(jù)C.控制A.帶寬B.處理器A,外存B.數(shù)據(jù)通信C.過(guò)程控制A,優(yōu)先級(jí)高B,優(yōu)先級(jí)低計(jì)算機(jī)組成原理試題5一、選擇題(共20分,每題1分)1.某機(jī)字長(zhǎng)8位,采用補(bǔ)碼形式(其中1位為符號(hào)位),則機(jī)器數(shù)所能表示的范圍是.-127?127;-128?+128;-128?+127;-128?+128。2.在的計(jì)算機(jī)系統(tǒng)中,外設(shè)可以和主存儲(chǔ)器單元統(tǒng)一編址,因此可以不使用I/O指令。A.單總線;B.雙總線;C.三總線;D.以上三種總線。.某計(jì)算機(jī)字長(zhǎng)是32位,它的存儲(chǔ)容量是64KB.按字編址,它的尋址范圍是.16KB;16K;32K;32KB。.中斷向量可提供?A.被選中設(shè)備的地址:B.傳送數(shù)據(jù)的起始地址;C.中斷服務(wù)程序入口地址;D.主程序的斷點(diǎn)地址。.Cache的地址映象中比較多的采用“按內(nèi)容尋址”的相聯(lián)存儲(chǔ)器來(lái)實(shí)現(xiàn)。A.直接映象;B.全相聯(lián)映象;C.組相聯(lián)映象;D.以上都有。.總線的異步通信方式 .A.不采用時(shí)鐘信號(hào),只采用握手信號(hào);B.既采用時(shí)鐘信號(hào),又采用握手信號(hào);C.既不采用時(shí)鐘信號(hào),又不采用握手信號(hào);D.采用時(shí)鐘信號(hào),不采用握手信號(hào)。.在磁盤存儲(chǔ)器中,查找時(shí)間是.A.使磁頭移動(dòng)到要找的柱面上所需的時(shí)間;.在磁道上找到要找的扇區(qū)所需的時(shí)間;C.在扇區(qū)中找到要找的數(shù)據(jù)所需的時(shí)間。D.以上都不對(duì)。.在控制器的控制信號(hào)中,相容的信號(hào)是 的信號(hào)。A.可以相互替代:B.可以相繼出現(xiàn);C.可以同時(shí)出現(xiàn);D.不可以同時(shí)出現(xiàn)。.計(jì)算機(jī)操作的最小單位時(shí)間是.A.時(shí)鐘周期:B.指令周期;C.CPU周期;D.執(zhí)行周期。.CPU不包括oA.地址寄存器;B.指令寄存器IR;C.地址譯碼器;D.通用寄存器。.尋址便于處理數(shù)組問題。A.間接尋址;B.變址尋址;C.相對(duì)尋址:D.立即尋址。.設(shè)寄存器內(nèi)容為10000000,若它等于0,則為.A.原碼;B.補(bǔ)碼;C.反碼;D.移碼。.若一個(gè)8比特組成的字符至少需10個(gè)比特來(lái)傳送,這是傳送方式。A.同步;B.異步;C.并聯(lián);D.混合。.設(shè)機(jī)器字長(zhǎng)為32位,存儲(chǔ)容量為16MB,若按雙字編址,其尋址范圍是8MB;2M;4M;16Mo.尋址對(duì)于實(shí)現(xiàn)程序浮動(dòng)提供了較好的支持。A.間接尋址;B.變址尋址;C.相對(duì)尋址;D.直接尋址。16.超標(biāo)量技術(shù)是。A.縮短原來(lái)流水線的處理器周期;B.在每個(gè)時(shí)鐘周期內(nèi)同時(shí)并發(fā)多條指令;C.把多條能并行操作的指令組合成一條具有多個(gè)操作碼字段的指令;D.以上都不對(duì)。.在控制器的控制方式中,機(jī)器周期內(nèi)的時(shí)鐘周期個(gè)數(shù)可以不相同,這屬于—A.同步控制;B.異步控制;C.聯(lián)合控制;D.局部控制。.I/O與主機(jī)交換信息的方式中,中斷方式的特點(diǎn)是oCPU與設(shè)備串行工作,傳送與主程序串行工作;CPU與設(shè)備并行工作,傳送與主程序串行工作:CPU與設(shè)備并行工作,傳送與主程序并行工作;CPU與設(shè)備串行工作,傳送與主程序并行工作。.當(dāng)定點(diǎn)運(yùn)算發(fā)生溢出時(shí),應(yīng) .A.向左規(guī)格化;B.向右規(guī)格化;C.發(fā)出出錯(cuò)信息;D.舍入處理。.在一地址格式的指令中,下列是正確的。A.僅有一個(gè)操作數(shù),其地址由指令的地址碼提供:B.可能有一個(gè)操作數(shù),也可能有兩個(gè)操作數(shù);C.一定有兩個(gè)操作數(shù),另一個(gè)是隱含的;D.指令的地址碼字段存放的一定是操作碼。二、填空題(共20分,每空1分).設(shè)浮點(diǎn)數(shù)階碼為8位(含1位階符),尾數(shù)為24位(含1位數(shù)符),則32位二進(jìn)制補(bǔ)碼浮點(diǎn)規(guī)格化數(shù)對(duì)應(yīng)的十進(jìn)制真值范圍是:最大正數(shù)為A,最小正數(shù)為B,最大負(fù)數(shù)為C,最小負(fù)數(shù)為D。.在總線復(fù)用的CPU>|\A和B共用?組總線,必須采用C控制的方法,先給D信號(hào),并用E 信號(hào)將其保存。.微指令格式可分為 A型和B型兩類,其中C型微指令用較長(zhǎng)的微程序結(jié)構(gòu)換取較短的微指令結(jié)構(gòu)。.如果Cache的容量為128塊,在直接映象下,主存中第I塊映象到緩存第A塊。.I/O和CPU之間不論是采用串行傳送還是并行傳送,它們之間的聯(lián)絡(luò)方式(定時(shí)方式)可分為A、 B、 C 三種。.設(shè)n=4位(不包括符號(hào)位在內(nèi)),原碼兩位乘需做 A 次移位,最多做B 次加法;補(bǔ)碼Booth算法需做 C 次移位,最多做 D 次加法。三、名詞解釋(共10分,每題2分).異步控制方式.向量地址.宜接尋址.字段直接編碼.多重中斷四、計(jì)算題(5分)設(shè)浮點(diǎn)數(shù)字長(zhǎng)為32位,欲表示±6萬(wàn)的十進(jìn)制數(shù),在保證數(shù)的最大精度條件下,除階符、數(shù)符各取1位外,階碼和尾數(shù)各取幾位?按這樣分配,該浮點(diǎn)數(shù)溢出的條件是什么?五、簡(jiǎn)答題(15分)1.某機(jī)主存容量為4Mxi6位,且存儲(chǔ)字長(zhǎng)等于指令字長(zhǎng),若該機(jī)的指令系統(tǒng)具備85種操作。操作碼位數(shù)固定,且具有直接、間接、立即、相對(duì)、基址、變址六種尋址方式。(5分)

(1)畫出一地址指令格式并指出各字段的作用;(2)該指令直接尋址的最大范圍(十進(jìn)制表示);(3)一次間址的尋址范圍(十進(jìn)制表示);(4)相對(duì)尋址的位移量(十進(jìn)制表示)。.程序查詢方式和程序中斷方式都要由程序?qū)崿F(xiàn)外圍設(shè)備的輸入/輸出,它們有何不同?(5分).某機(jī)有五個(gè)中斷源,按中斷響應(yīng)的優(yōu)先順序由高到低為L(zhǎng)O.L1,L2,L3,L4,現(xiàn)要求優(yōu)先順序改為L(zhǎng)4,L3,L2,L1,LO,寫出各中斷源的屏蔽字。(5分)中斷源屏蔽字中斷源屏蔽字0 12 3 4L0L1L2L3L4六、問答題(20分)(1)畫出主機(jī)框圖(要求畫到寄存器級(jí));(2)若存儲(chǔ)器容量為64Kx32位,指出圖中各寄存器的位數(shù):(3)寫出組合邏輯控制器完成ADDX(X為主存地址)指令發(fā)出的全部微操作命令及節(jié)拍安排。(4)若采用微程序控制,還需增加哪些微操作?七、設(shè)計(jì)題(10分)設(shè)CPU共有16根地址線,8根數(shù)據(jù)線,并用而畫作訪存控制信號(hào)(低電平有效)用頓作讀寫控制信號(hào)(高電平為讀,低電平為寫)?,F(xiàn)有下列存儲(chǔ)芯片:1KX4位RAM,4KX8位RAM,2KX8位ROM,以及74138譯碼器和各種門電路,如圖所示。畫出CPU與存儲(chǔ)器連接圖,要求:(1)主存地址空間分配:A000H-A7FFH為系統(tǒng)程序區(qū);A800H?AFFFH為用戶程序區(qū)。(2)合理選用上述存儲(chǔ)芯片,說(shuō)明各選幾片,并寫出每片存儲(chǔ)芯片的二進(jìn)制地址范圍。(3)詳細(xì)畫出存儲(chǔ)芯片的片選邏輯。

74138譯碼器Gi,74138譯碼器Gi,皈,鼻為控制端C,B,A為變量控制端Y?……Yo為輸出端計(jì)算機(jī)組成原理試題5答案選擇題(共20分,每題1分)4.C5.B6.A7.A1.C2.C3.B8.C9.A10.C11.B12.D13.B14.B15.C16.B17.A18.B19.C20.B二、填空題(共20分,每空1分)1.A.2,27(1-2-23)B.2T29C.2T28(_2(2-23)D.-21272.A.地址線B.數(shù)據(jù)線C.分時(shí)D.地址3.E.A.地址鎖存垂直B.水平C.垂直4.A.zmod1285.A.立即響應(yīng)B.異步定時(shí)C.同步定時(shí)6.A.2三、名詞解釋(共10每題2分)B.3C.4D.5.異步控制方式答:異步控制不存在基準(zhǔn)時(shí)標(biāo)信號(hào),微操作的時(shí)序是由專用的應(yīng)答線路控制的,即控制器發(fā)出某一個(gè)微操作控制信號(hào)后,等待執(zhí)行部件完成該操作時(shí)所發(fā)回的“回答”或“終了”信號(hào),再開始下一個(gè)微操作。.向量地址答:向量地址是存放服務(wù)程序入口地址的存儲(chǔ)單元地址,它由硬件形成.雙重分組跳躍進(jìn)位答:n位全加器分成若干大組,大組內(nèi)又分成若干小組,大組中小組的最高進(jìn)位同時(shí)產(chǎn)生,大組與大組間的進(jìn)位串行傳送。.字段直接編碼答:字段直接編碼就是將微指令的操作控制字段分成若干段,將一組互斥的微命令放在一個(gè)字段內(nèi),通過(guò)對(duì)這個(gè)字段譯碼,便可對(duì)應(yīng)每一個(gè)微命令,這種方式因靠字段宜接譯碼發(fā)出微命令,故又有顯式編碼之稱。.多重中斷答:多重中斷即指CPU在處理中斷的過(guò)程中,又出現(xiàn)了新的中斷請(qǐng)求,此時(shí)若CPU暫?,F(xiàn)行的中斷處理,轉(zhuǎn)去處理新的中斷請(qǐng)求,即多重中斷。四、計(jì)算題(共5分)答:【解】因?yàn)?^=65536則±6萬(wàn)的十進(jìn)制數(shù)需16位二進(jìn)制數(shù)表示。對(duì)于尾數(shù)為16位的浮點(diǎn)數(shù),因16需用5位二進(jìn)制數(shù)表示,即(16)+=(10000),故除階符外,階碼至少取5位。為了保證數(shù)的最大精度,最終階碼取5位,尾數(shù)取327-1-5=25位。按這樣分配,當(dāng)階碼大于+31時(shí),浮點(diǎn)數(shù)溢出,需中斷處理。]五、簡(jiǎn)答題(共15分).(5分)答:一地址指令格式為(1分)OPMAOP操作碼字段,共7位,可反映85種操作;M尋址方式特征字段,共3位,可反映6種尋址方式:A形式地址字段,共16-7-3=6位(1分)(2)直接尋址的最大范圍為2^=64 (1分)(3)由于存儲(chǔ)字長(zhǎng)為32位,故一次間址的尋址范圍為2^=65536(1分)(4)相對(duì)尋址的位移量為-32?+31 (1分)(5分)答:程序查詢方式是用戶在程序中安排一段輸入輸出程序,它由I/O指令、測(cè)試指令和轉(zhuǎn)移指令等組成。CPU一旦啟動(dòng)I/O后,就進(jìn)入這段程序,時(shí)刻查詢I/O準(zhǔn)備的情況,若未準(zhǔn)備就緒就踏步等待:若準(zhǔn)備就緒就實(shí)現(xiàn)傳送。在輸入輸出的全部過(guò)程中,CPU停止自身的操作。程序中斷方式雖也要用程序?qū)崿F(xiàn)外部設(shè)備的輸入、輸出,但它只是以中斷服務(wù)程序的形式插入到用戶現(xiàn)行程序中。即CPU啟動(dòng)I/O后,繼續(xù)自身的工作,不必查詢I/O的狀態(tài)。而I/O被啟動(dòng)后,便進(jìn)入自身的準(zhǔn)備階段,當(dāng)其準(zhǔn)備就緒時(shí),向CPU提出中斷請(qǐng)求,此時(shí)若滿足條件,CPU暫停現(xiàn)行程序,轉(zhuǎn)入該設(shè)備的中斷服務(wù)程序,在服務(wù)程序中實(shí)現(xiàn)數(shù)據(jù)的傳送。(5分)答:(每寫對(duì)一個(gè)屏蔽字1分)設(shè)屏蔽位為“1”時(shí)表示對(duì)應(yīng)的中斷源被屏蔽,屏蔽字排列如下:中斷源0屏蔽字1 234L010 000L111 000L211100L311110L411111六、問答題(共20分)⑴(5分)CPUMDRMAR本存儲(chǔ)器I/O(2)(5分)ACCMQALUXIRMDRPCMAR3232323232321616(3)(5分)TO PC-MAR 1-RT1 M(MAR)-MDR (PC)+LPCT2 MDRfIR OP(IR)fIDTO Ad(IR)-*MAR 1-RT1 M(MAR)-MDRT2 (AC)+(MDR)fAC⑷(5分)取指 Ad(CMDR)fCMAROP(IR)f微地址形成部件一CMAR執(zhí)行 Ad(CMDR)-CMAR七、設(shè)計(jì)題(共10分)(1) 根據(jù)主存地址空間分配為:(2分)Ais…Ay…A[…A、Ao對(duì)應(yīng)A000H-A7FFH對(duì)應(yīng)A000H-A7FFH系統(tǒng)程序區(qū),選用一片2KX8位ROM芯V;(1分)對(duì)應(yīng)A800H-AFFFH對(duì)應(yīng)A800H-AFFFH用戶程序區(qū),選用4片1KX4位RAM芯片。(1分)1010 0000 0000 0000,2KX8位ROM1片1010 0111 1111 111110 10 10 00 0000 0000、10 10 10 11 1111 111).1KX4位RAM2片1010 1100 0000 0000、,1KX4位RAM2片1010 1111 1111 11111(2)選出所用芯片類型及數(shù)量(3)CPU與存儲(chǔ)芯片的連接圖如圖所示(6分)計(jì)算機(jī)組成原理試題一、單項(xiàng)選擇題(從下列各題四個(gè)備選答案中選出一個(gè)正確答案,并將其代號(hào)寫在題干前面的括號(hào)內(nèi)。).若十進(jìn)制數(shù)據(jù)為137.5則其八進(jìn)制數(shù)為(B)。A、89.8B、211.4C、211.5D,1011111.101.若x"=0.1101010,則xg(A).A,1.0010101B、1.0010110C、0.0010110D、0.1101010.若采用雙符號(hào)位,則發(fā)生正溢的特征是:雙符號(hào)位為(B)。A、00B、01C、10D、11.原碼乘法是(A)。A、先取操作數(shù)絕對(duì)值相乘,符號(hào)位單獨(dú)處理B、用原碼表示操作數(shù),然后直接相乘C、被乘數(shù)用原碼表示,乘數(shù)取絕對(duì)值,然后相乘D、乘數(shù)用原碼表示,被乘數(shù)取絕對(duì)值,然后相乘.為了縮短指令中某個(gè)地址段的位數(shù),有效的方法是采取(C)。A,立即尋址B、變址尋址C、間接尋址D、寄存器尋址.下列數(shù)中,最小的數(shù)是(AA..下列數(shù)中,最小的數(shù)是(AA.(101001)2B.(52)8.下列數(shù)中,最大的數(shù)是(DA.(101001)2B.(52)8.下列數(shù)中,最小的數(shù)是(DA.(111111)2B.(72)8)。C.(2B)16 D.45C.(2B)16 D.45C.(2F)16D.509.已知:X=-0.0011,Y=-0.0101?(X+Y)補(bǔ)=(A).A.1.1100 B.1.1010D.1.1000.一個(gè)512KB的存儲(chǔ)器,地址線和數(shù)據(jù)線的總和是(C)。A.17B.19C.27D.36.某計(jì)算機(jī)字長(zhǎng)是16位它的存儲(chǔ)容量是64KB,按字編址,它們尋址范圍是(C).A.64KB.32KBC.32KD.16KB12.某一RAM芯片其容量為512*8位,除電源和接地端外該芯片引線的最少數(shù)目是(C)。A.21B.17C.19D.2O.計(jì)算機(jī)內(nèi)存儲(chǔ)器可以采用(A)oA.RAM和ROMB.只有ROMC.只有RAMD.RAM和SAM.單地址指令中為了完成兩個(gè)數(shù)的算術(shù)操作,除地址碼指明的一個(gè)操作數(shù)外,另一個(gè)數(shù)常需采用(C)OA.堆棧尋址方式 B.立即尋址方式 C.隱含尋址方式 D.間接尋址方式.零地址運(yùn)算指令在指令格式中不給出操作數(shù)地址,因此它的操作數(shù)來(lái)自(B).A.立即數(shù)和棧頂 B.棧頂和次棧頂 C.暫存器和棧頂 D.寄存器和內(nèi)存單元.指令系統(tǒng)中采用不同尋址方式的目的主要是(C)。A.實(shí)現(xiàn)存儲(chǔ)程序和程序控制 B.可以直接訪問外存C.縮短指令長(zhǎng)度,擴(kuò)大尋址空間,提高編程靈活性D.提供擴(kuò)展操作碼的可能并降低指令譯碼難度.用于對(duì)某個(gè)寄存器中操作數(shù)的尋址方式稱為(C)尋址。A.直接 B.間接 C.寄存器直接 D.寄存器間接17.寄存器間接尋址方式中,操作數(shù)處在(17.寄存器間接尋址方式中,操作數(shù)處在(A.通用寄存器 B.貯存單元18火內(nèi)(:是(A)的簡(jiǎn)稱。A.精簡(jiǎn)指令系統(tǒng)計(jì)算機(jī)C.復(fù)雜指令計(jì)算機(jī)19(6(:是(C)的簡(jiǎn)稱。A.精簡(jiǎn)指令系統(tǒng)計(jì)算機(jī)C.復(fù)雜指令計(jì)算機(jī)20.中央處理器是指(C).A.運(yùn)算器 B.控制器B).C.程序計(jì)數(shù)器 D.堆棧B.大規(guī)模集成電路D.超大規(guī)模集成電路B.大規(guī)模集成電路D.超大規(guī)模集成電路C.運(yùn)算器和控制器存儲(chǔ)器D.運(yùn)算器和控制器A.A.主存地址寄存器 B.程序寄存器.CPU中通用寄存器的位數(shù)取決于(A.存儲(chǔ)容量 B.機(jī)器字長(zhǎng).同步控制是(C).A.只適用于CPU控制的方式C.由統(tǒng)一時(shí)序信號(hào)控制的方式21.在CPU中跟蹤指令后繼地址的寄存器是(B )C.指令寄存器 D.狀態(tài)條件寄存器B)oC.指令的長(zhǎng)度 D.CPU的管腳數(shù)B.只適用于外圍設(shè)備控制的方式D.所有指令執(zhí)行時(shí)間都相同的方式.異步控制常用于(A)作為其主要控制方式。A.在單總線結(jié)構(gòu)計(jì)算機(jī)中訪問主存與外圍設(shè)備時(shí)B.微型機(jī)的CPU控制中C.組合邏輯控制的CPU中 D.微程序控制器中.為了縮短指令中某個(gè)地址段的位數(shù),有效的方法是采?。–)。A、立即尋址B、變址尋址C、間接尋址D、寄存器尋址二、判斷題(判斷下列各題的正誤。對(duì)的打“J",錯(cuò)的打“X”,若錯(cuò)誤必須加以改正。每題1分,計(jì)10分)1、存儲(chǔ)單元是存放一個(gè)二進(jìn)制信息的存貯元。X2、計(jì)算機(jī)輔助設(shè)計(jì)簡(jiǎn)稱CAD。V3、集中式總線控制中,定時(shí)查詢方式的響應(yīng)速度最快。X4、主程序運(yùn)行時(shí)何時(shí)轉(zhuǎn)向?yàn)橥庠O(shè)服務(wù)的中斷服務(wù)程序是預(yù)先安排好的。X5、時(shí)序電路用來(lái)產(chǎn)生各種時(shí)序信號(hào),以保證整個(gè)計(jì)算機(jī)協(xié)調(diào)地工作。V6、采用下址字段法控制微程序執(zhí)行順序的微程序控制器中,一定要有微程序計(jì)數(shù)器。X7、主存儲(chǔ)器中采用雙譯碼結(jié)構(gòu)的主要目的是提高存取速度。X8、集中式總線控制中,定時(shí)查詢方式下,各設(shè)備的優(yōu)先級(jí)是固定不變的。X9、引入虛擬存儲(chǔ)系統(tǒng)的目的是提高存儲(chǔ)速度。X10、DMA方式進(jìn)行外設(shè)與主機(jī)交換信息時(shí),不需要向主機(jī)發(fā)出中斷請(qǐng)求。X11、CPU以外的設(shè)備都稱外部設(shè)備。X12、第三代計(jì)算機(jī)所用的基本器件是晶體管。X13、奇偶校驗(yàn)可以糾正代碼中出現(xiàn)的錯(cuò)誤。X14、用微指令的分段譯碼法設(shè)計(jì)微指令時(shí),需將具有相斥性的微命令組合在同一字段內(nèi)。V15、CPU訪問存儲(chǔ)器的時(shí)間是由存儲(chǔ)器的容量決定的,存儲(chǔ)容量與越大,訪問存儲(chǔ)器所需的時(shí)間越長(zhǎng)。X四、名詞解釋(每題2分,共10分)1、存儲(chǔ)程序的工作方式:將計(jì)算機(jī)需進(jìn)行的工作事先編寫成程序,存入計(jì)算機(jī)中,運(yùn)行程序時(shí)計(jì)算機(jī)自動(dòng)進(jìn)行工作。2,高速緩沖存儲(chǔ)器:介于CPU與主存之間,速度較快、容量較小、價(jià)格較貴的存儲(chǔ)器,引入CACHE的目的是提高存儲(chǔ)系統(tǒng)的速度。3、程序中斷的工作方式:在CPU運(yùn)行主程序時(shí),接受到非預(yù)期的中斷請(qǐng)求,CPU暫?,F(xiàn)行工作轉(zhuǎn)向?yàn)橹袛嗾?qǐng)求服務(wù),待服務(wù)完畢后回到住程序繼續(xù)執(zhí)行。4、系統(tǒng)總線:連接機(jī)器內(nèi)部各大部件的信息公共通道。5、微程序:用于解釋機(jī)器指令的若干條微指令的有序集合。6、(磁盤的)數(shù)據(jù)傳輸率:?jiǎn)挝粫r(shí)間傳送的二進(jìn)制信息的字節(jié)數(shù)。7、DMA方式:?jiǎn)挝粫r(shí)間傳送的二進(jìn)制信息的字節(jié)數(shù)。8、隨機(jī)存取方式:一定的硬件和一定的軟件組成的有機(jī)整體。五、簡(jiǎn)答題(每小題5分,共30分)1、說(shuō)你認(rèn)為計(jì)算機(jī)系統(tǒng)中的硬件和軟件在邏輯功能等價(jià)嗎?為什么?答:軟件與硬件的邏輯功能是等效的,但性能不相同。2、什么是運(yùn)算器?它的主要由哪幾個(gè)功能部件組成?答:運(yùn)算器是進(jìn)行算術(shù)邏輯運(yùn)算的部件。它主要由加法器、通用寄存器、標(biāo)志寄存器等部件組成。3,與RAM相比ROM有何特點(diǎn)?答:ROM掉電后信息不會(huì)丟失,但其中的信息只能讀不能隨便寫。4,與程序中斷控制方式相比DMA控制方式有何特點(diǎn)?答:速度快。響應(yīng)快、優(yōu)先級(jí)高、處理快、無(wú)須現(xiàn)場(chǎng)保護(hù)和現(xiàn)場(chǎng)的恢復(fù)。但是應(yīng)用范圍沒有程序中斷控制方式廣。5、微程序控制的基本思想是:把指令執(zhí)行所需要的所有控制信號(hào)存放在控制存儲(chǔ)器中,需要時(shí)從這個(gè)存儲(chǔ)器中讀取,即把操作控制信號(hào)編成微指令,存放在控制存儲(chǔ)器中。一條機(jī)器指令的功能通常用許多條微指令組成的序列來(lái)實(shí)現(xiàn),這個(gè)微指令序列稱為微程序。微指令在控制存儲(chǔ)器中的存儲(chǔ)位置稱為微地址。6、同種類的外設(shè)部設(shè)備接入計(jì)算機(jī)系統(tǒng)時(shí),應(yīng)解決哪些主要問題?答:數(shù)據(jù)格式、地址譯碼、控制信息的組織和狀態(tài)信息的反饋。7、中斷接口一般包含哪些基本組成?簡(jiǎn)要說(shuō)明它們的作用。答:①地址譯碼。選取接口中有關(guān)寄存器,也就是選擇了I/O設(shè)備;②命令字/狀態(tài)字寄存器。供CPU輸出控制命令,調(diào)回接口與設(shè)備的狀態(tài)信息;③數(shù)據(jù)緩存。提供數(shù)據(jù)緩沖,實(shí)現(xiàn)速度匹配;④控制邏輯。如中斷控制邏輯、與設(shè)備特性相關(guān)的控制邏輯等。8、加快中央處理器與主存之間傳輸信息的措施有哪些?六、綜合題1,設(shè)X=26/32,丫=-15/32,采用二進(jìn)制變形補(bǔ)碼計(jì)算[X+Y卜尸?并討論計(jì)算結(jié)果。解:設(shè)X=26/32,丫=--15/32,采用二進(jìn)制變形補(bǔ)碼計(jì)算[X+Y]產(chǎn)?并討論計(jì)算結(jié)果。解:X=0.11010 Y=-0.01111[X+Y]if=0.010111無(wú)溢出2、X=00110011,Y=10011110,求XAY=?XVY=?解:XAY=00010010XVY=101111113、設(shè)有一個(gè)具有12位地址和4位字長(zhǎng)的存儲(chǔ)器,問:(1)該存儲(chǔ)器能存儲(chǔ)多少字節(jié)信息?(2)如果存儲(chǔ)器由IKxl位RAM芯片組成.需要多少片?(3)需要地址多少位作為芯片選擇?(4)試畫出該存儲(chǔ)器的結(jié)構(gòu)圖。解:設(shè)有一個(gè)具有12位地址和4位字長(zhǎng)的存儲(chǔ)器,(1)該存儲(chǔ)器能存儲(chǔ)2K字節(jié)信息。(2)如果存儲(chǔ)器由IKxl位RAM芯片組成.需要16片。(3)需要地址2位作為芯片選擇。(4)(圖略)4.某機(jī)字長(zhǎng)16位,內(nèi)存總?cè)萘繛?56KW,其中ROM占地址范圍為00000H?OFFFFH,其余地址空間為RAM。請(qǐng)用如下存貯芯片為該機(jī)設(shè)計(jì)一個(gè)存儲(chǔ)器:(l)ROM,RAM的容量各為多少?(2)該主存的地址線、數(shù)據(jù)線各為多少根?(3)用容量為32K*16的ROM芯片和64K*16的RAM芯片構(gòu)成該存儲(chǔ)器,需要RAM和ROM芯片各幾片?(4)畫出存儲(chǔ)器結(jié)構(gòu)及其與CPU連接的邏輯框圖解

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論