微機(jī)原理與接口技術(shù)C8051F_第1頁(yè)
微機(jī)原理與接口技術(shù)C8051F_第2頁(yè)
微機(jī)原理與接口技術(shù)C8051F_第3頁(yè)
微機(jī)原理與接口技術(shù)C8051F_第4頁(yè)
微機(jī)原理與接口技術(shù)C8051F_第5頁(yè)
已閱讀5頁(yè),還剩200頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

微機(jī)原理與接口技術(shù)葉選沈陽(yáng)建筑大學(xué)信息與控制工程學(xué)院P3口的第二功能端口的負(fù)載能力及接口要求

1.P0口的輸出級(jí)的每一位可驅(qū)動(dòng)8個(gè)LSTTL門。2.P1口~P3口的輸出級(jí)的每一位可驅(qū)動(dòng)4個(gè)LSTTL門電路輸入。3.CHMOS端口只能提供幾個(gè)mA的輸出電流。4.P0~P3口都是準(zhǔn)雙向I/O口,作輸入時(shí),必須先在相應(yīng)端口鎖存器上寫“1”,使驅(qū)動(dòng)FET截止。系統(tǒng)復(fù)位時(shí),端口鎖存器為全“1”。I/O端口應(yīng)用舉例I/O端口應(yīng)用舉例功能:上電復(fù)位所有燈滅。K7閉合,即P3.0為低電平時(shí),將P2.0~P2.3口內(nèi)容送P1.0~P1.3口輸出;將P3.4~P3.6口內(nèi)容送P1.4~P1.6口輸出;P1.7口輸出高電平,即LED7滅。K7斷開,即P3.0為高電平,P1.7口輸出低電平,即LED7亮;P1.0~P1.6全部輸出高電平,即LED0~LED6滅。

開始復(fù)

位P3.0=1?屏蔽P2口的高4位→(R1)屏蔽P3口的低4位→(A)(A)∨(R1)→(A)將(A)的最高位置1(A)→(P1)#7FH→(P1)所有燈滅PI0PI1YNI/O端口應(yīng)用舉例并行接口設(shè)計(jì)性實(shí)驗(yàn)

實(shí)驗(yàn)第一部分并行接口設(shè)計(jì)性實(shí)驗(yàn)并行接口設(shè)計(jì)性實(shí)驗(yàn)并行接口設(shè)計(jì)性實(shí)驗(yàn)并行接口設(shè)計(jì)性實(shí)驗(yàn)實(shí)驗(yàn)第一部分(1)當(dāng)P1.7為1時(shí),發(fā)光二級(jí)管由LED1→LED6依次循環(huán)閃亮(燈亮?xí)r間通過(guò)調(diào)用監(jiān)控程序中的延時(shí)子程序LO36設(shè)定)。并行接口設(shè)計(jì)性實(shí)驗(yàn)

實(shí)驗(yàn)第一部分并行接口設(shè)計(jì)性實(shí)驗(yàn)實(shí)驗(yàn)第一部分延時(shí)子程序已編制好,存放在Dais目錄的Test文件夾內(nèi),可復(fù)制在實(shí)驗(yàn)程序后面使用,調(diào)用前先將延時(shí)時(shí)間常數(shù)送入R6工作寄存器。延時(shí)子程序LO36LO36:MOVR7,#00HLO35:DJNZR7,LO35DJNZR6,LO36RET并行接口設(shè)計(jì)性實(shí)驗(yàn)實(shí)驗(yàn)第一部分(2)當(dāng)P1.7為0時(shí),發(fā)光二級(jí)管LED1~LED6顯示開關(guān)K1~K6的設(shè)置情況(開關(guān)閉合,發(fā)光二極管亮;開關(guān)斷開,發(fā)光二極管滅)。并行接口設(shè)計(jì)性實(shí)驗(yàn)實(shí)驗(yàn)第一部分并行接口設(shè)計(jì)性實(shí)驗(yàn)實(shí)驗(yàn)第二部分①利用實(shí)驗(yàn)系統(tǒng)的并行接口電路、開關(guān)和發(fā)光二級(jí)管等,設(shè)計(jì)出彩燈控制器的實(shí)驗(yàn)電路,接好線路。要經(jīng)過(guò)實(shí)驗(yàn)指導(dǎo)教師檢查過(guò)線路連線后,再開始進(jìn)行實(shí)驗(yàn)。并行接口設(shè)計(jì)性實(shí)驗(yàn)實(shí)驗(yàn)第二部分②設(shè)計(jì)要求:自行設(shè)計(jì)各種彩燈閃亮方式,彩燈閃亮方式應(yīng)不少于兩種,并可控制。要求新穎、變化多樣、有創(chuàng)意。③編制彩燈控制器程序。④調(diào)試程序達(dá)到控制彩燈閃爍的目的。一、定時(shí)/計(jì)數(shù)器0和1的模式0二、定時(shí)/計(jì)數(shù)器0和1的模式1三、定時(shí)/計(jì)數(shù)器0和1的模式2四、定時(shí)/計(jì)數(shù)器0的模式3定時(shí)/計(jì)數(shù)器0、1的模式控制寄存器TMOD

注:復(fù)位時(shí)各位為零。

定時(shí)/計(jì)數(shù)器0、1的狀態(tài)控制寄存器TCON

注:復(fù)位時(shí)各位為零。

例1:在單片機(jī)的P1.7端接有一個(gè)發(fā)光二極管LED,要求利用定時(shí)控制LED亮一秒,滅一秒,周而復(fù)始。LED100ms100ms例2:利用定時(shí)器產(chǎn)生1ms定時(shí),并使P1.0引腳輸出周期為2ms的方波,設(shè)單片機(jī)晶振頻率為6MHz。

定時(shí)1ms的初值:機(jī)器周期=12÷6MHz=2μs計(jì)算T0的初值X:(213-X)×2μs=1msX=7692=1E0CH=11110000

01100B8位5位例3:利用定時(shí)/計(jì)數(shù)器T1門控信號(hào)GATE功能,測(cè)量引腳上正脈沖信號(hào)的寬度(單位為機(jī)器周期)。

并行通信串行通信通信方式

1.單工方式

通信方式2.半雙工方式

通信方式3.全雙工方式

同步方式

1.異步傳送方式

同步傳送方式2.同步傳送方式

計(jì)算機(jī)通信是一種數(shù)字信號(hào)的通信

采用基帶數(shù)據(jù)傳輸

采用調(diào)制解調(diào)器傳送

采用調(diào)制解調(diào)器傳送采用電流環(huán)傳送

串行口控制寄存器SCON

D7D6D5D4D3D2D1D0

SM0SM1SM2RENTB8RB8TIRI注:復(fù)位時(shí)各位為零。

串行口控制寄存器SCON1.SM0、SM1:串行口工作方式選擇位SM0SM1方式功能波特率000110110123同步移位寄存器8位異步接收發(fā)送器9位異步接收發(fā)送器9位異步接收發(fā)送器fOSC/12可變(T1溢出率)fOSC/64或fOSC/32可變(T1溢出率)串行口控制寄存器SCON

D7D6D5D4D3D2D1D0

SM0SM1SM2RENTB8RB8TIRI注:復(fù)位時(shí)各位為零。

串行口控制寄存器SCON2.REN:允許串行接收控制位

若REN=0,則禁止接收;若REN=1,則允許接收

串行口控制寄存器SCON

D7D6D5D4D3D2D1D0

SM0SM1SM2RENTB8RB8TIRI注:復(fù)位時(shí)各位為零。

串行口控制寄存器SCON3.TB8:要發(fā)送的第9位數(shù)據(jù)位

4.RB8:接收到的第9位數(shù)據(jù)位

串行口控制寄存器SCON

D7D6D5D4D3D2D1D0

SM0SM1SM2RENTB8RB8TIRI注:復(fù)位時(shí)各位為零。

串行口控制寄存器SCON

5.TI:發(fā)送中斷標(biāo)志位方式0:當(dāng)發(fā)送第8位結(jié)束后置位TI其它方式:發(fā)送到停止位的中間便置位TI

6.RI:接收中斷標(biāo)志位方式0:當(dāng)接收到的第8位結(jié)束后,置位RI其它方式:接收到停止位的中間便置位RI

串行口控制寄存器SCON

D7D6D5D4D3D2D1D0

SM0SM1SM2RENTB8RB8TIRI注:復(fù)位時(shí)各位為零。

串行口控制寄存器SCON

7.SM2:多機(jī)通信控制位在方式0時(shí),SM2必須為0。

在方式2和方式3中當(dāng)SM2=1時(shí),接收到的數(shù)據(jù)中若:0,不接收數(shù)據(jù),RI=0,不產(chǎn)生中斷請(qǐng)求RB8位=1,接收數(shù)據(jù),使RI=1,產(chǎn)生中斷請(qǐng)求當(dāng)SM2=0時(shí),接收到的數(shù)據(jù)中0RB8位=都接收數(shù)據(jù),使RI=1,產(chǎn)生中斷請(qǐng)求1電源控制寄存器PCON

D7D6D5D4D3D2D1D0SMOD87H注:復(fù)位時(shí)各位狀態(tài)為0XXXXXXXB。串行口數(shù)據(jù)緩沖器SBUF

串行口工作方式0發(fā)送串行口工作方式0接收串行口工作方式1發(fā)送串行口工作方式1接收例:編制發(fā)送方發(fā)送一個(gè)數(shù)據(jù)字節(jié)及其奇偶校驗(yàn)位的程序。

串行口方式設(shè)置:SM0SM1SM2RENTB8RB8TIRI10000000例:編制接收方設(shè)法取出奇偶校驗(yàn)位進(jìn)行核對(duì),相應(yīng)的接收程序。

串行口方式設(shè)置:SM0SM1SM2RENTB8RB8TIRI10010000一、方式0的波特率

串行口方式0的波特率=fosc/12

二、方式2的波特率

串行口方式2的波特率=fosc×2SMOD/64

三、方式1、方式3的波特率

式中:X——T1的初值

增強(qiáng)型MCS-51系列單片機(jī)的波特率

波特率(方式1、方式3)

式中:(RCAP2H,RCAP2L)——16位無(wú)符號(hào)整數(shù)。

例1:串行口的方式0用于鍵盤和顯示器的控制。

例1:串行口的方式0用于鍵盤和顯示器的控制。顯示器段碼字形abcdefgDP代碼(H)000000011031100111119F200100101253000011010D410011001995010010014960100000141例1:串行口的方式0用于鍵盤和顯示器的控制。串行口實(shí)驗(yàn)

串行口實(shí)驗(yàn)

編程要求:開機(jī)運(yùn)行,數(shù)碼管LED全部暗。用開發(fā)機(jī)的數(shù)字鍵盤輸入數(shù)字,數(shù)碼管由左向右依次顯示輸入的數(shù)字。當(dāng)輸入的數(shù)字位數(shù)超出4個(gè)時(shí),顯示最后輸入的4個(gè)數(shù)字。程序由顯示子程序、鍵盤掃描子程序KEY(延時(shí)子程序已編制好,存放在Dais目錄的Test文件夾內(nèi),可復(fù)制在實(shí)驗(yàn)程序后面使用)和主程序組成。串行口實(shí)驗(yàn)主程序的任務(wù):設(shè)置堆棧,將串行口編程為方式0,處于發(fā)送狀態(tài),并使4個(gè)數(shù)碼管全暗;調(diào)用鍵盤掃描子程序KEY,等待鍵盤輸入數(shù)字;調(diào)用顯示子程序,將從鍵盤輸入的數(shù)字顯示出來(lái);等待輸入新的數(shù)字和進(jìn)行顯示。例2:用串行口方式1建立點(diǎn)對(duì)點(diǎn)的異步通信模型

①兩片8051的串行口直接相連

例2:用串行口方式1建立點(diǎn)對(duì)點(diǎn)的異步通信模型②采用RS-232—C標(biāo)準(zhǔn)接口連接

通信協(xié)議通信協(xié)議例3:利用串行通訊方式2、方式3的第9個(gè)數(shù)據(jù)位,進(jìn)行數(shù)據(jù)通訊。

單片機(jī)系統(tǒng)多機(jī)通信是利用SM2的多機(jī)通信機(jī)制來(lái)實(shí)現(xiàn)的,主要包括以下幾個(gè)步驟:從機(jī)設(shè)置本機(jī)的SM2=1,使各從機(jī)處于接收地址(第9位為1)的狀態(tài),各從機(jī)有不同的地址號(hào);主機(jī)以第9位為1的地址形式發(fā)送某從機(jī)地址號(hào);單片機(jī)系統(tǒng)多機(jī)通信是利用SM2的多機(jī)通信機(jī)制來(lái)實(shí)現(xiàn)的,主要包括以下幾個(gè)步驟:3.各從機(jī)收到地址號(hào)時(shí)與本機(jī)設(shè)定的地址號(hào)進(jìn)行比較,地址號(hào)相同的從機(jī)使SM2=0(接收數(shù)據(jù)狀態(tài)),其它從機(jī)SM2=1保持不變;單片機(jī)系統(tǒng)多機(jī)通信是利用SM2的多機(jī)通信機(jī)制來(lái)實(shí)現(xiàn)的,主要包括以下幾個(gè)步驟:被尋址從機(jī)向主機(jī)發(fā)送本機(jī)地址號(hào)及狀態(tài),以示從機(jī)可否進(jìn)行通信。主機(jī)確認(rèn)后可以以數(shù)據(jù)方式(第9位改為0)與被尋址從機(jī)進(jìn)行數(shù)據(jù)交換。

單片機(jī)系統(tǒng)多機(jī)通信是利用SM2的多機(jī)通信機(jī)制來(lái)實(shí)現(xiàn)的,主要包括以下幾個(gè)步驟:若主機(jī)收到錯(cuò)誤信息,發(fā)復(fù)位信號(hào)(可發(fā)任意數(shù)據(jù),但第9位為1應(yīng)保持不變)。從機(jī)收到復(fù)位信號(hào)(第9位為1)后,重置SM2=1。中斷入口地址

中斷源中斷入口地址外部中斷INT00003H定時(shí)器中斷T0000BH外部中斷INT10013H定時(shí)器中斷T1001BH串行口中斷0023H

復(fù)位時(shí)程序入口地址0000H

TCON定時(shí)/計(jì)數(shù)器控制寄存器

(與中斷有關(guān)的位)

注:復(fù)位時(shí)各位為零。

SCON串行口控制寄存器

(與中斷有關(guān)位)

注:復(fù)位時(shí)各位為零。

IE中斷允許寄存器

注:復(fù)位時(shí)各位狀態(tài)0XX00000B(禁止一切中斷)

IP中斷優(yōu)先級(jí)寄存器

注:復(fù)位時(shí)各位狀態(tài)為:XXX00000B。采用邊沿觸發(fā)的外部中斷實(shí)驗(yàn)響應(yīng)中斷期間單片機(jī)自動(dòng)完成的動(dòng)作:

1.將相應(yīng)的優(yōu)先級(jí)有效觸發(fā)器置位(禁止同級(jí)和低級(jí)中斷)。2.清除中斷請(qǐng)求標(biāo)志(此處,令I(lǐng)E0=0)。3.執(zhí)行一個(gè)硬件子程序

中斷硬件子程序把PC的內(nèi)容(斷點(diǎn)處的地址)壓入堆棧把中斷入口地址(此處為0003H)裝入PC由中斷入口地址跳到中斷服務(wù)程序——響應(yīng)中斷。

響應(yīng)中斷期間單片機(jī)自動(dòng)完成的動(dòng)作:4.完成中斷服務(wù)程序執(zhí)行RETI指令將優(yōu)先級(jí)有效觸發(fā)器復(fù)位(允許同級(jí)和低級(jí)中斷)把保存在堆棧的斷點(diǎn)地址重新裝入PC

退出中斷,繼續(xù)執(zhí)行主程序。采用電平觸發(fā)方式的外部中斷多級(jí)中斷的優(yōu)先級(jí)管理

多級(jí)中斷的執(zhí)行過(guò)程設(shè)有低優(yōu)先級(jí)的中斷服務(wù)程序正在執(zhí)行中,現(xiàn)有高優(yōu)先級(jí)的中斷申請(qǐng)產(chǎn)生,CPU會(huì)自動(dòng)把低優(yōu)先級(jí)服務(wù)程序的下一條指令的地址(PC指針的當(dāng)前值)入棧保護(hù),把高優(yōu)先級(jí)中斷服務(wù)程序的入口地址送入PC,使CPU轉(zhuǎn)而進(jìn)入高優(yōu)先級(jí)服務(wù)程序執(zhí)行。待執(zhí)行完高優(yōu)先級(jí)服務(wù)程序后,執(zhí)行RETI中斷返回指令,被中斷的低優(yōu)先級(jí)服務(wù)程序的地址出棧送入PC,使得CPU繼續(xù)為低優(yōu)先級(jí)服務(wù)程序服務(wù),直到執(zhí)行RETI返回到主程序繼續(xù)執(zhí)行。

中斷優(yōu)先級(jí)的排隊(duì)鏈路

中斷舉例:?jiǎn)尾讲僮?/p>

MCS—51單片機(jī)的擴(kuò)展總線

D觸發(fā)器74LS273

鎖存器74LS373和8282EPROM程序存儲(chǔ)器EPROM程序存儲(chǔ)器腳方式VPPD0~D7讀VLVLVHVCC數(shù)據(jù)輸出維持VHXXVCC高阻編程VLVHVLVPP數(shù)據(jù)輸入編程校驗(yàn)VLVLVHVPP數(shù)據(jù)輸出編程禁止VHXXVPP高阻2764方式選擇2864方式選擇腳方式D0~D7讀VLVLVH數(shù)據(jù)輸出維持VHXX高阻編程VLVHVL數(shù)據(jù)輸入編程校驗(yàn)VLVLVH數(shù)據(jù)輸出編程禁止VHXX高阻WE程序存儲(chǔ)器的操作時(shí)序

擴(kuò)展2KB程序存儲(chǔ)器擴(kuò)展一片4KB程序存儲(chǔ)器擴(kuò)展一片8KB程序存儲(chǔ)器RAM數(shù)據(jù)存儲(chǔ)器6116的工作方式

腳功能寫VLVLVHD0~D7上內(nèi)容寫入A0~A10對(duì)應(yīng)單元讀VLVHVLA0~A10對(duì)應(yīng)單內(nèi)容輸出到D0~D7非選VHXXD0~D7呈高阻非選XVHVHD0~D7呈高阻方式CEWEOERAM數(shù)據(jù)存儲(chǔ)器6264的工作方式

腳功能寫VHVLVLVHD0~D7上內(nèi)容寫入A0~A12對(duì)應(yīng)單元讀VHVLVHVLA0~A12對(duì)應(yīng)單內(nèi)容輸出到D0~D7非選X/VLVH/XXXD0~D7呈高阻非選XXVHVHD0~D7呈高阻CE1WEOE方式CE2從外部數(shù)據(jù)存儲(chǔ)器讀數(shù)的時(shí)序

擴(kuò)展的基本方法擴(kuò)展的基本方法

擴(kuò)展一片8KB數(shù)據(jù)存儲(chǔ)器1.線選法尋址擴(kuò)展存儲(chǔ)器2.譯碼法尋址擴(kuò)展存儲(chǔ)器139的真值表

2.譯碼法尋址擴(kuò)展存儲(chǔ)器1.用74LS2738D觸發(fā)器作擴(kuò)展輸出

74LS273邏輯功能表輸入輸出CLRCLKDQVLXXVLVHVHVHVHVLVL1.用74LS2738D觸發(fā)器作擴(kuò)展輸出2.用三態(tài)門作輸入口

3.用串行口擴(kuò)展并行輸出口

3.用串行口擴(kuò)展并行輸入口

用專用芯片擴(kuò)展接口

一些常用的擴(kuò)展芯片:8255可編程的并行接口片8251可編程的通信接口8273可編程的HDLC/SDLC控制器8253可編程時(shí)間間隔定時(shí)器8279/78可編程鍵盤/顯示接口(64/128鍵)8295點(diǎn)陣式打印機(jī)控制器8255芯片的邏輯結(jié)構(gòu)

8255口地址選擇

單片機(jī)與8255的連接8255方式0——基本輸入/輸出方式PA口PB口可分別設(shè)定為輸入/輸出口PC口(高4位)PC口(低4位)8255方式1——選通輸入/輸出方式8255方式1——選通輸入方式PC0——INTRB(B口中斷請(qǐng)求)1:有中斷請(qǐng)求PC1——IBFB(B口輸入緩沖器滿)1:收到外設(shè)送來(lái)的數(shù)據(jù)PC2——STBB(B口選通)0:外設(shè)將數(shù)據(jù)送入鎖器8255方式1——選通輸入方式PC3——INTRA(A口中斷請(qǐng)求)1:有中斷請(qǐng)求PC4——STBA(A口選通)0:外設(shè)將數(shù)據(jù)送入鎖器PC5——IBFA(A口輸入緩沖器滿)1:收到外設(shè)送來(lái)的數(shù)據(jù)8255方式1——選通輸入方式PC6——I/OPC7——I/O

8255方式1——選通輸出方式PC0——INTRB(B口中斷請(qǐng)求)1:有中斷請(qǐng)求PC1——OBFB(B口輸出緩沖器滿)0:CPU向口寫入數(shù)據(jù)PC2——ACKB(B口響應(yīng)輸入)0:數(shù)據(jù)已由外設(shè)取走8255方式1——選通輸出方式PC3——INTRA(A口中斷請(qǐng)求)1:有中斷請(qǐng)求PC6——ACKA(A口響應(yīng)輸入)0:數(shù)據(jù)已由外設(shè)取走PC7——OBFA(A口輸出緩沖器滿)0:CPU向口寫入數(shù)據(jù)8255方式1——選通輸出方式PC4——I/OPC5——I/O8255方式2——雙向總線方式A口為8位雙向總線口,C口的PC3~PC7用作為輸入/輸出的控制同步信號(hào)B口和C口的PC0~PC2只可為方式0或方式1工作

8255方式2——雙向總線方式PC3——INTRA(A口中斷請(qǐng)求)1:請(qǐng)求中斷PC4——STBA(A口選通)0:外設(shè)已將數(shù)據(jù)送入鎖存器PC5——IBFA(A口輸入緩沖器滿)1:數(shù)據(jù)還未被CPU讀取8255方式2——雙向總線方式PC6——ACKA(A口響應(yīng)信號(hào))0:外設(shè)數(shù)據(jù)被外設(shè)取走PC7——OBFA(A口輸出緩沖器滿)0:CPU把數(shù)據(jù)輸出至A口

8255的控制字寄存器

1.方式選擇控制字2.C口置位/復(fù)位控制字

用8255構(gòu)成一個(gè)EEPROM2864高速寫入編程器用8255構(gòu)成一個(gè)EEPROM2864高速寫入編程器

2864方式選擇腳方式D0~D7讀VLVLVH數(shù)據(jù)輸出維持VHXX高阻編程VLVHVL數(shù)據(jù)輸入編程校驗(yàn)VLVLVH數(shù)據(jù)輸出編程禁止VHXX高阻WE8255的控制字

10000000方式置方式0A口C口高4位方式0B口C口低4位標(biāo)志輸出輸出

輸出輸出└A─組┘└

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論