大二下模實(shí)驗(yàn)數(shù)電_第1頁
大二下模實(shí)驗(yàn)數(shù)電_第2頁
大二下模實(shí)驗(yàn)數(shù)電_第3頁
大二下模實(shí)驗(yàn)數(shù)電_第4頁
大二下模實(shí)驗(yàn)數(shù)電_第5頁
已閱讀5頁,還剩161頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

第1章數(shù)字電子技術(shù)的基第1章數(shù)字電子技術(shù)的基本實(shí)驗(yàn)技術(shù)與方 操作規(guī) 實(shí)驗(yàn)預(yù) 實(shí)驗(yàn)操 實(shí)驗(yàn)報(bào) 課程學(xué)習(xí)的目 脈沖信號(hào)常用參數(shù)的測(cè)量方 用TDS-2002數(shù)字示波器測(cè) 虛擬儀器DSO25216的示波器測(cè) 二進(jìn)制信 二進(jìn)制直流信 二進(jìn)制脈沖信 數(shù)字電路同步多路波形的測(cè) 用雙蹤示波器測(cè)量同步波形的原 用雙蹤示波器進(jìn)行多波形測(cè)量的方 用虛擬儀器DSO25216的邏輯分析儀進(jìn)行多波形測(cè)量的方 未知電路的多波形測(cè)量及應(yīng) 幾種基本電路的測(cè)試方 集成邏輯門電 集成觸發(fā)器電 計(jì)數(shù)器電 譯碼顯示電 數(shù)字電路的安裝與調(diào) 數(shù)字電路常見故障檢測(cè)和排 TTL器件和CMOS器件的使 TTL器件使用規(guī) CMOS器件使用規(guī) 邏輯可編程器 MAXⅡ的特 幾種常用的MAXⅡ器件的參 .MAXⅡ器件的命 第2章Quartus?II軟件和數(shù)字邏輯實(shí)驗(yàn)箱使用介 Quartus?II軟件的使用介 2.1.1概 2.1.2安 2.1.3QuartusII的使 QuartusII元件庫中常用的元件名 DLBS-1型數(shù)字邏輯實(shí)驗(yàn)箱使用介 實(shí)驗(yàn)箱總體布局 實(shí)驗(yàn)箱主要功能模 第3章部分?jǐn)?shù)字單元電路的設(shè)計(jì)與實(shí)現(xiàn)方 組合邏輯電 組合邏輯電路設(shè)計(jì)方 I觸發(fā)器電 觸發(fā)器電 JK觸發(fā)器轉(zhuǎn)換為D觸發(fā) D觸發(fā)器轉(zhuǎn)換為JK觸發(fā) 時(shí)序邏輯電 用觸發(fā)器設(shè)計(jì)同步計(jì)數(shù)器設(shè)計(jì)方 用集成計(jì)數(shù)器設(shè)計(jì)不同模的計(jì)數(shù)器的方 第4章實(shí) 基礎(chǔ)實(shí) CP 基礎(chǔ)實(shí)驗(yàn)——碼制轉(zhuǎn)換電路的分析及測(cè) 門電 組合邏輯電 譯碼器和數(shù)據(jù)選擇器的功能測(cè)試及應(yīng) 裁判表決電 碼制轉(zhuǎn)換電路的設(shè) 優(yōu)先報(bào)警電路的設(shè) 循環(huán)碼轉(zhuǎn)換為BCD碼的轉(zhuǎn)換電路的設(shè)計(jì)及其顯示電 發(fā)電機(jī)控制電路的設(shè) 組合電路中的競(jìng)爭(zhēng)與冒險(xiǎn)的研 共陽數(shù)碼管顯示電 時(shí)序邏輯電 觸發(fā)器的測(cè)試及其應(yīng) 四人智力搶答電 時(shí)序邏輯器件功能測(cè)試及其簡(jiǎn)單應(yīng) 簡(jiǎn)易交通燈控制電路的設(shè) 彩燈流水電路的設(shè) 彩燈系統(tǒng)循環(huán)電 脈沖電 集成器件脈沖電 時(shí)基電路555的應(yīng)用電路的設(shè)計(jì)與測(cè) 模-數(shù)和數(shù)-模轉(zhuǎn)換電 A/D轉(zhuǎn)換器的測(cè) D/A轉(zhuǎn)換器的測(cè)試及應(yīng) 存儲(chǔ) 4.6.1存儲(chǔ)器RAM和ROM的測(cè) 綜合電 加法計(jì)數(shù)電路的設(shè) 簡(jiǎn)易直流數(shù)字電壓表的設(shè) 4.7第五章數(shù)字電子技術(shù)課程設(shè) 題目一多功能數(shù)字 題目二多功能交通 常用集成器件管腳排列 實(shí)驗(yàn)報(bào)告樣 1章數(shù)字電子技1章數(shù)字電子技術(shù)的基本實(shí)驗(yàn)技術(shù)與方法1.1操作1.1.1實(shí)驗(yàn)1.1.2實(shí)驗(yàn)11.1.3實(shí)驗(yàn)1.1.3實(shí)驗(yàn)可登陸實(shí)驗(yàn)室網(wǎng)站:49查詢??傊瑫?huì)準(zhǔn)備、會(huì)操作、會(huì)總結(jié)、才1.2課程學(xué)習(xí)的目1.3脈沖信號(hào)常用參數(shù)的測(cè)量方法一般用示波器來測(cè)量,不同類型的示波器,其操作略有差異,下面以TDS-2002數(shù)字示波器和虛擬儀DSO252161000Hz脈沖信號(hào)的高電平、低電平、幅度、周期、1.3.1TDS-2002數(shù)字示波器將1000Hz脈沖信號(hào)輸入示波器其中一個(gè)通道,如CH1,注意信號(hào)從探頭輸入,示波器的TRIGMANUCH121.3.2DSO25216DSO252161-3-11-3-21-3-1DSO2521631-3-2DSO252161000Hz1-3-2DSO252161000HzA1,注意信號(hào)從探頭輸入,虛擬儀DC,靈敏度伏/格設(shè)2V,速率設(shè)為20KSa,如圖1-3-1-3-31-3-5OK按鈕,接著在窗口菜單中打開測(cè)量參數(shù)顯示窗口,如土圖1-3-6所示。41-3-1-3-51-3-1-3-551-3-61-3-6錄參數(shù)或波形,如圖1-3-7205010KHz200KSa500KSa1-3-71.4二進(jìn)制入的各路信號(hào)組合符合二進(jìn)制數(shù)的規(guī)律,其各位權(quán)值分別是2n1.4.1二進(jìn)制直流信061.4.2二進(jìn)制脈沖信1-4-8形可分析出電路所完成的功能,并可觀察到電路是否有延時(shí)和冒險(xiǎn)等。1.5數(shù)字電路同步多路波形的1.5.1用雙蹤示波器測(cè)量同步波形的原1.4.2二進(jìn)制脈沖信1-4-8形可分析出電路所完成的功能,并可觀察到電路是否有延時(shí)和冒險(xiǎn)等。1.5數(shù)字電路同步多路波形的1.5.1用雙蹤示波器測(cè)量同步波形的原當(dāng)對(duì)數(shù)字電路進(jìn)行動(dòng)態(tài)測(cè)量時(shí)為了分析電路的邏輯功能需要測(cè)量多個(gè)輸入、輸出脈沖觀測(cè)這種多路脈沖信號(hào),并要保證各路信號(hào)彼此之間正確的相位關(guān)系,就應(yīng)選擇一個(gè)合適的內(nèi)觸發(fā)信號(hào),用來作示波器鋸齒波時(shí)基信號(hào)的同步信號(hào),并且要使示波器X偏轉(zhuǎn)板所加掃描電壓周期Tx與YTy成整數(shù)倍,7CBAF1000020010301004011051000610107110081111正確的多路脈沖信號(hào)。例如:觀測(cè)A、B兩路脈沖信號(hào),B是A正確的多路脈沖信號(hào)。例如:觀測(cè)A、B兩路脈沖信號(hào),B是A的二分頻。根據(jù)上述原B作內(nèi)觸發(fā)信號(hào),設(shè)鋸齒波和B的周期比為1:1,1-5-1可看出鋸齒波的周期等B,A的兩個(gè)周期,均為整數(shù)倍的關(guān)系。這樣觀測(cè)信號(hào),波形將穩(wěn)定可靠地顯示在屏幕上,而且彼此之間的相位不會(huì)錯(cuò)。若用A作內(nèi)觸發(fā)信號(hào),1-5-2A1,B的一個(gè)周期,原則,BB圖1-5-1鋸齒波的周期等于B圖1-5-2鋸齒波的周期等于A的一個(gè)周1.5.2用雙蹤示波器進(jìn)行多波形測(cè)量的方法CH1通道和CH2通道同時(shí)觀測(cè)頻率最低和頻率最高的信號(hào)QD和CLKQDQDCH1CH1,調(diào)節(jié)示波器穩(wěn)定地顯示波形,并且一個(gè)CLK周期占示波器顯示窗口刻度格一大格,便于撤掉CH2的QA、QB、QCCH2CH2QA、QB、QC,CH1QDQDCLK調(diào)到合適觀察確度,有條件可采用邏輯分析儀進(jìn)行觀察和測(cè)量。1-5-31.5.3用虛擬儀器DSO25216的邏輯分析儀進(jìn)行多波形測(cè)量的方法8LogicPodCH0~LogicPodCH0~15的接口,LogicPod0~15接到被測(cè)量的信號(hào),GND接到被測(cè)信號(hào)源的地然后在操作界面點(diǎn)擊一GO按鈕,就可以觀察到被測(cè)信號(hào)的波形。如圖1-5-4所示,注意如果波形顯示的長(zhǎng)短不合適,可以通過改變20KSa50KSaGO1-5-41.5.4未知電路的多波形測(cè)量及在實(shí)際工作中,往往會(huì)遇到一些電路,其內(nèi)部結(jié)構(gòu)難以得知,而又要了解它的功能,這ABF,工作電源值+5V,如果沒有邏輯分1-5-1F=A+B91-5-6圖1-5-5表1-5-1011101-5-6圖1-5-5表1-5-1011101111圖1-5-6.1.6幾種基本電路的測(cè)試方法1.6.1集成邏輯門電1.6.2集成觸發(fā)器電1.6.3計(jì)數(shù)器電MSI電路使能端的電1.6.4譯碼顯示電1.6.4譯碼顯示電lk?電阻接電源+5V,各筆段應(yīng)亮;對(duì)于共陽型的數(shù)碼管,則陽極接+5V,輸入端通過lk?電阻接地;譯碼器的測(cè)試可以在其數(shù)據(jù)輸入端依次輸入0000~1001組合碼,則顯示器對(duì)應(yīng)顯示出0~9數(shù)字。1.7數(shù)字電路的安裝與數(shù)字電路的安裝與調(diào)試過程是檢驗(yàn)、修正設(shè)計(jì)方案的實(shí)踐過程,也是應(yīng)用理論知識(shí)來解決實(shí)踐中各類問題的關(guān)鍵環(huán)節(jié),是數(shù)字電路設(shè)計(jì)者必須掌握的基本技能。下面介紹數(shù)字1.低頻實(shí)驗(yàn),含有高達(dá)幾十兆赫茲以上頻率信號(hào)的實(shí)驗(yàn)不應(yīng)用面包板,而應(yīng)用高頻纖維板,電,規(guī)定標(biāo)準(zhǔn)或者欠規(guī)定標(biāo)準(zhǔn),電路都不能正常工作。3,應(yīng)滿足所用集成芯片對(duì)時(shí)鐘信號(hào)的要求。例如,脈沖信號(hào)發(fā)生器輸出信號(hào)的電平值。0UiL2.4VUiHCMOS0UiL0.7V0.7VDDUiHTrTf不應(yīng)過大,脈沖寬度不應(yīng)過小,以避免信號(hào)過沖,測(cè)量時(shí),脈沖信號(hào)線應(yīng)在直流電源接通電路后再連入4.連線與布線做實(shí)驗(yàn)時(shí),電路出現(xiàn)故障,很大一部分原因是因?yàn)椴季€問題。為了避免布IC插座或面包板上進(jìn)行,插接集成器件時(shí),器件上表面有半曲或折斷。拔出時(shí),必須用專用拔鉗工具(U形夾,夾住集成塊兩頭,垂直往上拔起,或5.電源耦合問題數(shù)字電路中,集成電路形成的電源尖峰電流在電源內(nèi)阻上形成內(nèi)部干擾電壓(即電源耦合)。如果這個(gè)干擾信號(hào)過大,可能引起電路故障。為了消除電源耦合,(10~100μF),但是由于電解電容的高頻特性不好,所以為消除高頻噪聲,在電源和地之間再接入小電容(0.1μF或更?。?55時(shí)6.集成電路器件的功能測(cè)試在進(jìn)行實(shí)驗(yàn)時(shí),對(duì)所選用的數(shù)字集成電路器件,懷疑不能正7數(shù)字電路的調(diào)試順序也是先調(diào)試單元電路或子系統(tǒng),然后逐漸擴(kuò)大將幾個(gè)單元電路進(jìn)行聯(lián)替代法將已經(jīng)調(diào)整好的單元電路代替有故障或有疑問的相同的單元電路,這樣可以路有問題時(shí),應(yīng)先檢查該部分的連線,當(dāng)確認(rèn)無誤后再更換集成電路芯片。對(duì)分法把有故障的電路對(duì)分為兩個(gè)部分,可檢查出有問題的那一部分而排除另一部替代法將已經(jīng)調(diào)整好的單元電路代替有故障或有疑問的相同的單元電路,這樣可以路有問題時(shí),應(yīng)先檢查該部分的連線,當(dāng)確認(rèn)無誤后再更換集成電路芯片。對(duì)分法把有故障的電路對(duì)分為兩個(gè)部分,可檢查出有問題的那一部分而排除另一部1.8數(shù)字電路常見故障檢測(cè)和選擇與電平選擇不恰當(dāng);電路延遲時(shí)間引起的冒險(xiǎn)以及某些器件的控制信號(hào)變化,發(fā)生在首先,認(rèn)真仔細(xì)地復(fù)查。接好全部接線后,對(duì)照實(shí)驗(yàn)原理圖仔細(xì)地復(fù)查一遍。檢查集成芯片正方向是否插對(duì)(,是否有漏線和錯(cuò)線,是否有兩個(gè)以上的輸出端錯(cuò)誤地連在一起等。檢查無誤(或更正)后再接通電源,看看功能是否正常,如果功能不正常,電平是否符合表2.3的數(shù)值范圍,從而判斷插座板接觸不良、集成塊引腳或連線等原因造成的1-8-1J、KCMOSCMOS1-8-1J、KCMOSCMOS熱燒壞。當(dāng)CMOS器件工作在較高電源電壓,或輸入/輸出信號(hào)由于電路上的原因使其高于VDD或低于VSS時(shí),就可能出現(xiàn)鎖定效應(yīng),因此在電路中應(yīng)采取措施加以預(yù)防,方法如下:1)電源去耦,加粗地線來減小地線電阻。的鎖定電流在40mA左右。4)4)(兩輸出端狀態(tài)不≈0.6≈01.9TTL1.9TTLCMOS器件的1.9.1TTL器件使用者接2.4~4.5V的固定電壓。1KΩ~10KΩTTL對(duì)于或非門、或門,按其邏輯要求,多余輸入端不能懸空,只能接地。對(duì)于與或非門JKD對(duì)輸入端接地電阻的要求當(dāng)輸入端有接地電阻時(shí),若器件上電,則必然有電流通過該電阻。若R≤680Ω,則輸入端相當(dāng)于邏輯0:若R≥4.7KΩ,則輸入端相當(dāng)于邏輯1,產(chǎn)品不同,R值有所不同。1.9.2CMOS器件使用規(guī)VDD8~12VVDD8~12VVDD或接VSS空,在電路板的輸入端應(yīng)加限流電 和保護(hù)電阻R。如圖1-9-所示。值的選擇通常使100KΩ~1MΩ1-9-13VDDVSS,否則將導(dǎo)致器件損壞。除三態(tài)(TS)器件VIH≤VDD;ViVILVDD端未接通電源前,不允許信號(hào)輸入,否則將使輸入108Ω以上。保護(hù)電路雖然引入,但吸收瞬變能量有限。若瞬變1.TTL出為低電平VOLCMOS不成問題。但TTL輸出為高電平VOH(標(biāo)準(zhǔn)值2.4V)CMOS1.TTL出為低電平VOLCMOS不成問題。但TTL輸出為高電平VOH(標(biāo)準(zhǔn)值2.4V)CMOS3.5V,TTL說CMOS1-9-3(a)所示。由于CMOS集成電路的電源有幾種形式,因此接口也有差異,CMOS1-9-3(b,接口電阻的限制值分別為5VDDRx16IVDD5(3.51.1)1.6K250106RxI式中,VOLIOLRxmin<Rx<Rxmax5-15VVDDRXRX1-9-5RXRX1-9-5VDD>VCC用TTL(OC)-TTLCC4049(CC4050)VDD1-9-71-9-7用晶體管作接口電路1-9-71-9-7用晶體管作接口電路TTLCMOS(b)1-9-9TTLCMOSTTLCMOS1.10邏輯可編程器MAXII器件是一種非易失性、即用性可編程邏輯器件,它采用了一種突破性的新型CPLDPLD器件的一半,功耗是其十分之一,密度是其MAXCPLD先進(jìn)特性的架構(gòu)的基I/O焊盤約束的空間內(nèi)提供了最多的邏輯容量。因此,MAXIICPLD器件是所有CPLD產(chǎn)品中成本最低、功耗最小和密度最高的器件。0.186Flash工藝,MAXIICPLD所有的優(yōu)目標(biāo),MAXII器件成為接口橋接、I/O擴(kuò)展、器件配置和上電順序等應(yīng)用最理想的解決方1.10.1MAXⅡ的特點(diǎn)MAXI/O十分之一的功耗(3.3VMAX器件相比4.1.8V片內(nèi)電壓調(diào)整器支持3.3V、2.5V1.8VI/O3.3V、2.5V、1.8V1.5VI/OPCI300Flash施密特觸發(fā)器(Schmitttriggers、回轉(zhuǎn)速率可編程以及驅(qū)動(dòng)能力可編程提高了信號(hào)完AlteraQuartus?IIMAXIIMAX1.10.2幾種常MAXⅡ器件的參1.10.3MAXⅡ器件的命1-10-1MAX1270,22101.10.2幾種常MAXⅡ器件的參1.10.3MAXⅡ器件的命1-10-1MAX1270,2210,后面如果有GZ,GZGZ裝,是最常見的貼片,McsBGA封裝。100100個(gè)管腳,C是指商業(yè)級(jí)的意I是指工業(yè)級(jí)。3是速度等級(jí),MAXII3,4,5三種等級(jí),一般情況下選5就可以滿足大部分的應(yīng)用,也比較便宜。ESN則是指無鉛的意思,有鉛就不帶N。現(xiàn)在絕大部分都是無鉛的了,才能符合環(huán)保要求。1.10.4EPM240T100C5的管腳排列圖如圖1-10-2MAXFLASHtPDfCNTtSUtCO128-240-570-1270-1-10-EPM240T100C51-10-EPM240T100C52Quartus?II軟2Quartus?II軟件和數(shù)字邏輯實(shí)驗(yàn)箱使用介紹2.1Quartus?II軟件的使用介紹2.1.1概述Quartus?IIAltera公司為可編程芯片系統(tǒng)(SOPC)提供較全面的設(shè)計(jì)環(huán)境的邏輯電高的,其功能和器件庫支持的器件多些,由于篇幅的限制和為敘述方便,,本書暫以QuartusII7.2版為例,介紹該軟件的基本使用方法,至于其詳盡使用方法或更高版本增加的功能,EDA工具等,下面就按安裝、設(shè)計(jì)的建立、編譯、仿真和下載2-1-1QuartusII2.1.2雙擊安裝文件2-1-25.5G2-1-25.5GCPLD2-1-3QuartusII2-1-32-1-42-1-2-1-52-1-2-1-52-1-2-1-6Quartus2-1-6QuartusII的破解:crack_7_22-1-7所示界面,點(diǎn)擊“第一步”對(duì)應(yīng)的”Licens生成”按鈕產(chǎn)生一個(gè)License文件,再點(diǎn)擊“第二步”對(duì)應(yīng)的”QuartusII破解”按鈕,將安裝文件夾(x:\...\altera\72\quartus\bin)dll文件進(jìn)行替換。做完前兩步,QuartusII破解已完成,直接關(guān)閉破解文件就可以了。操作系統(tǒng)為WIN7/6432圖2-1- QuartusIILicenseQuartusIILicense2.-1-82-1-92-1-2-1-9License2.1.3QuartusII的使用Quartus2.1.3QuartusII的使用QuartusII的使用主要分四個(gè)基本步驟:設(shè)計(jì)輸入、編譯、仿真和下載。由于對(duì)設(shè)計(jì)功適當(dāng)介紹QuartusII的一些常用的功能的操作方法。1QuartusII支持多種設(shè)計(jì)輸入方法,如圖2-1-10圖2-1- QuartusII設(shè)計(jì)輸入方法框(1)QuartusII???AHDLVHDL,Hex,QuartusII平臺(tái)上的原理圖式圖形設(shè)計(jì)輸入法和文本編輯輸入法是最基QuartusII74161為FileNew2-1-112-1-22-1-112-1-112-1-122-1-132-1-13,出現(xiàn)下2-1-13,出現(xiàn)下面圖2-1-14,直接在Name框2-1-14(Input整電路,圖中的VCC模塊是把計(jì)數(shù)器74161的使能端置高電平,使其按四位二進(jìn)計(jì)數(shù),注意輸入(Input、輸出(Output)端口不能重名,而且是非中文的。另外,各元件間的連元件端口,釋放鼠標(biāo)左鍵,就完成連線,最后完成的電路圖如圖2-1-152-1-15Creanew2-1-15CreanewprojectbasedonthisfileNext鍵,直到選擇芯片,如選擇EPM240T100C5不要與器件庫中的邏輯模塊同名,如這里用JSQ,而不用2-1-162-1-172-1-162-1-172-1-17EPM240T100C5,如2-1-182-1-18NextFinishNextFinish2.-1-192-1-192QuartusII軟件包括模塊化編譯。Compiler包括分析和綜合、Fitter、Assembler、TimingAnalyzer、DesignAssistant*、EDANetlistWriter*、CompilerDatabaseInterface*模塊,標(biāo)擇StartCompilation(Processing菜單)來運(yùn)行所有的Compiler模塊。若要單獨(dú)運(yùn)行各個(gè)模塊,可以通過選擇Start(Processing菜單),然后從Start子菜單中為模塊選擇相應(yīng)的指令。CompilerTool(Tools菜單)并在CompilerTool窗口中運(yùn)行該模塊StartCompilation2-1-202-1-212.-1-2-1-212.-1-2-1-213仿真可以簡(jiǎn)單的理解為根據(jù)電路和電路的激勵(lì),算出輸出的波形或其它參數(shù)的過程,對(duì)Quartus?3仿真可以簡(jiǎn)單的理解為根據(jù)電路和電路的激勵(lì),算出輸出的波形或其它參數(shù)的過程,對(duì)Quartus?IIEDA仿真工具中進(jìn)行設(shè)計(jì)仿真:NativeLink?集成EDA仿真工具、生成輸出網(wǎng)表文件、功能與時(shí)序仿真、PowerGauge功率估計(jì)、生成仿真激勵(lì)模板和存儲(chǔ)器初始化文件。最常用的是功能仿真、時(shí)響仿真的輸出結(jié)果,功率估計(jì)可以估計(jì)在時(shí)序仿真期間當(dāng)前設(shè)計(jì)所消耗的功率,以毫瓦(mW)為計(jì)算單位和報(bào)告設(shè)計(jì)所消耗的內(nèi)功率、I/O引腳功率和總功率。如何進(jìn)行設(shè)計(jì)的功能仿真、時(shí)序仿真和功率估計(jì),下面仍以前面計(jì)數(shù)器74161為例進(jìn)行介紹。2-1-222-1-232-1-232-1-242-1-24點(diǎn)擊NodeFinder按鈕,點(diǎn)擊List按鈕,出現(xiàn)圖2-1-25所示的節(jié)點(diǎn)選擇界面,在左下邊窗口OK按鈕,就出現(xiàn)圖2-1-2-1-252-1-252-1-26本例,四位二進(jìn)制計(jì)數(shù)器,一共有16種狀態(tài),如果柵格先設(shè)為10n,一個(gè)柵格對(duì)應(yīng)一個(gè)狀態(tài),那么仿真的時(shí)間長(zhǎng)度至少要160n,柵格大小和仿真時(shí)間長(zhǎng)度的設(shè)置在Edt菜單中,如圖2-1-27圖2-1- 選擇柵格設(shè)置菜CLK圖2-1- 選擇柵格設(shè)置菜CLK一個(gè)輸入信號(hào),該信號(hào)是方波,如的方波,可點(diǎn)擊按鈕,在ValueTiming選項(xiàng)卡中設(shè)置,其中,CounteveryMultipliedby右邊框中的數(shù)字可分別設(shè)置波形跳變的時(shí)間長(zhǎng)度和每個(gè)方波所占的格數(shù),11格,22格,332-1-282-1-28Edit2-1-28Edit菜GroupingGroup相反要撤消同組合并,則選UnGroup,如本例把QD、QCQB、QA2-1-29Group選項(xiàng)卡要輸入組的名稱Q,碼的類型為二進(jìn)制碼2-1-29Processing菜單中SimulatorTool2-1-30所圖2-1- 啟動(dòng)仿真工啟動(dòng)仿真工具后,就出現(xiàn)仿真選擇卡,從卡中選擇仿真類型,如果是功能仿真則選擇Functional圖2-1- 啟動(dòng)仿真工啟動(dòng)仿真工具后,就出現(xiàn)仿真選擇卡,從卡中選擇仿真類型,如果是功能仿真則選擇FunctionalGenerateFunctionalSimulationNetlistStartReport2-1-312-1-仿真輸出對(duì)齊于時(shí)鐘的上升沿,Q2-1-32仿真輸出對(duì)齊于時(shí)鐘的上升沿,Q2-1-32合成后顯示的碼不整齊,如果放大后可看到有其它碼,如圖2-1-2-1-322.-1-332-1-342-1-352-1-342-1-35圖2-1- 點(diǎn)擊Start按鈕,等分析完成后,點(diǎn)擊Report按鈕,可以看出芯片消耗的熱總功率46.20mW點(diǎn)擊Start按鈕,等分析完成后,點(diǎn)擊Report按鈕,可以看出芯片消耗的熱總功率46.20mW,芯片靜態(tài)熱功率39.60mW,芯片動(dòng)態(tài)熱功率0.00mW,I/0口熱功率6.60mW,如圖2-1-34所示,對(duì)于相同系列的芯片各功率基本上相同,不同系列的芯片各功率可能有較大差異,在QuartusII軟件只能估算某些系列芯片的熱功率,如MAXII、Cyclone等,對(duì)2-1-362-1-372-1-37Location2-1-382-1-38sof文件下載到選用的芯片中,實(shí)現(xiàn)硬件功能,可以在Tools菜單中選擇Programmer,如2-1-39所示。2-1-39HardwareSetup2-1-402-1-402-1-40HardwareSetup2-1-41CurrentlyselectedhardwareByteBlasterAddHardwareClose按鈕,設(shè)置完成,用StartJSQ.sof文件下載到選用的EPM240T1002-1-41前面簡(jiǎn)要介紹了QuartusII的基本使用方法,它還有很多實(shí)用的功能,由于篇幅關(guān)系,QuartusII軟件中常AHDL、Verilog、VHDL語言,AHDLAltera公司開發(fā)的語言,Verilog原來是美國(guó)軍方開發(fā)使用的語言,VHDLVHDL語言實(shí)現(xiàn)一個(gè)兩輸入的2-1-42VHDL2-1-42VHDL--QuartusIIVHDL--Conversion--Copyright(c)2009AlteraCorporation&SCUTLIBRARYieee;USEieee.std_logic_1164.all;--USEieee.std_logic_arith.all;PORT(a,:IN:OUTARCHITECTUREQuartuscpldOFand2VHDLISy<=aANDEND當(dāng)輸入完畢后,需要保存,文件名用文件中ENTITY的名稱and2VHDL,擴(kuò)展名是VHDLand2VHDL.VHDL就可以2-1-442-1-432-2-442.2QuartusII元件庫中常用的2-1-432-2-442.2QuartusII元件庫中常用的元件名稱QuartusIIQuartusII的默認(rèn)路徑,那么只要輸入 and8band: band8bdirc bnor2 bnor6 bor6 輸入反向或 constant gndinputc latchD鎖存器 nand8 nor4 nor8或非not or4 or8 nor4 nor8或非not or4 or8或 param trivccxnorxor異或161mux16-1選擇器,81mux8-1選擇器,21mux2-116cudslr16位計(jì)數(shù)/4- 8247400、7420、7430、7437、7440、74133/134—與非門,7402、74277428、742607442/45/445—BCD碼-十進(jìn)制碼轉(zhuǎn)換器,7443—余3-十進(jìn)制碼轉(zhuǎn)換器,7444—格雷碼-十進(jìn)制碼轉(zhuǎn)換器,7446/47/48/49/246/247/248—BCD-7段譯碼器,74137/138—3-8譯碼器, 雙2-4譯碼器,74143—4位計(jì)數(shù)或7段譯碼器,74145—BCD碼-十進(jìn)制碼轉(zhuǎn)換器,74154—4-16譯碼器,74184—BCD碼—二進(jìn)制碼轉(zhuǎn)換器,74185—二進(jìn)制碼—BCD74151/153/157/158/251/253/257/258/298/352/353/354/356/398/3997480/82/83、74183、74283—全加器,74385—加/減法器,74284/285—4位×4位并行二進(jìn)制乘法器,7485、74518/684/686/688—比較器,748774180/280—奇偶發(fā)生器,7497—六位同步二進(jìn)制比率乘法器,74167—四位同步十進(jìn)制比率乘法器,74261—2×4乘法器74240/241/244/465/466/467/468/540/541—8位總線緩沖,74365/366/367/368—16位總線緩7470/71/72/73/76/78/107/109/112/113/114//276/376—JK7474/171/273/374/377/378/379/821/822/823/824/825/826—D7475/77/116/373375/841/842/843/844/845/846/990—D鎖存器,74279—RS7490/92/93/176/177/196/197/592—NN進(jìn)制計(jì)數(shù)器。74190/290—加/減法十進(jìn)制計(jì)數(shù)器,74390—雙計(jì)數(shù)器。7491/94/95/96/164/165/166/178/179/194/195/198/199/295/299/589/590/594/595/597/673—4位可級(jí)聯(lián)移位寄存器,74670—4x4三態(tài)輸出寄存器,74172——管道寄存器,74396—8位存儲(chǔ)器。位寄存器堆74182—超前進(jìn)位發(fā)生器,74297—數(shù)字鎖相環(huán),74350—2.3DLBS1型數(shù)字邏輯實(shí)驗(yàn)箱使用介紹2.3.1實(shí)驗(yàn)箱總體布局:2.3.2實(shí)驗(yàn)箱主要功能模塊12-3-12-2-12-3-122-3-232-3-3波,撥動(dòng)兩位的控制開關(guān)設(shè)定Fout輸出孔輸出的脈沖頻率。42-2-12-3-122-3-232-3-3波,撥動(dòng)兩位的控制開關(guān)設(shè)定Fout輸出孔輸出的脈沖頻率。48421000000001100102001130100401015011060111710008100195.5V5.5V源負(fù)極性輸出,同時(shí)也是邏輯實(shí)驗(yàn)箱公共端,以GND標(biāo)識(shí)。.635組彈簧片,每個(gè)彈簧片有五個(gè)觸孔,這五個(gè)觸孔在電氣上是互連的,觸集成電路,亦可插入引腳直徑φ0.5~0.6mm2-3-42-3-47DIP142-3-5DIP168.5V2-3-69PnL輸出為高電平、PnH輸出為低電平,當(dāng)開關(guān)Pn按下接下觸點(diǎn),輸出插孔PnL輸出為低電2-3-7103.3Va、b、2-3-7103.3Va、b、c、d、e、f、gA-個(gè)接孔,如圖2-3-8113.3V3.3VCMOSTTL12.CPLD圖2-3- CPLD各I/O口對(duì)應(yīng)接線芯片有純I/O芯片有純I/O80個(gè),各個(gè)I/O口對(duì)應(yīng)的插孔見圖2-3-9所示。進(jìn)行設(shè)計(jì)時(shí)可根據(jù)所使用的13信號(hào)波形。見圖2-3-10所示。2-3-10143.3V5V3.3V153.3V5V3.3V163.3V5V3.3V175V3.3V系統(tǒng)共用區(qū)域,包括兩個(gè)固定電阻,阻值分別6.8KΩ和12K5V3.3V系統(tǒng)共用區(qū)域,包括兩個(gè)固定電阻,阻值分別6.8KΩ和12K,兩個(gè)固定電容,容量分別為0.1μF和0,01μF,兩個(gè)可變電阻和電容插接區(qū),可根據(jù)實(shí)際使用的需要插放任意的電阻和電容。該區(qū)域還有一個(gè)DIP8插座,實(shí)驗(yàn)中2-3-1118該區(qū)域也是5V系統(tǒng)和3.3V系統(tǒng)共用,有4個(gè)標(biāo)稱值分別為470Ω、4.7KΩ47KΩ470KΩ的電位器,其470Ω、4.7KΩ47KΩ是多圈電位器,470KΩ2-3-2-3-123部分?jǐn)?shù)字單元電路的設(shè)計(jì)與實(shí)現(xiàn)方法3.1組合邏輯電路3.1.1組合邏輯電路設(shè)計(jì)方法3部分?jǐn)?shù)字單元電路的設(shè)計(jì)與實(shí)現(xiàn)方法3.1組合邏輯電路3.1.1組合邏輯電路設(shè)計(jì)方法表3-1-1圖3-1-1ABCF00000010010001111000101111011111從真值表—表3-1列出F的邏輯表達(dá)式:FABCABCABC從真值表—表3-1列出F的邏輯表達(dá)式:FABCABCABCABC(式3-,用3FABBCCAABBCCA(式3-圖3-1-22.若采用TTL器件,兩輸入與非門可選74LS00,圖中三輸入與非門沒有現(xiàn)成的,而74LS20FABBCABACBCA(BC)FABBCABACBCA(BC)(BA(BC)(BA(BC)(B圖3-1-374LS003.2觸發(fā)器電3.2.1JK觸發(fā)器轉(zhuǎn)換為D觸發(fā)JK觸發(fā)器轉(zhuǎn)換為D觸發(fā)器就是把J、K兩個(gè)輸入端變換為D一個(gè)輸入端,求出J和K的方程。Qn1JQnD(QnQnDQnJK圖3-2-1JK觸發(fā)器轉(zhuǎn)換為D3.2.2D轉(zhuǎn)換為JK發(fā)D觸發(fā)器轉(zhuǎn)換為JK觸發(fā)器就是把JK圖3-2-1JK觸發(fā)器轉(zhuǎn)換為D3.2.2D轉(zhuǎn)換為JK發(fā)D觸發(fā)器轉(zhuǎn)換為JK觸發(fā)器就是把D一個(gè)輸入端變換為J、K兩個(gè)輸入端,求出D的方程。式3-4和式3-5等號(hào)右邊恒等,得到D的方程,如式3-8所示,用與門、非門和或門可以實(shí)現(xiàn),原理DJQn(式3-DJQn(式3-圖3-2-2D觸發(fā)器轉(zhuǎn)換為JK3.3時(shí)序邏輯電路3.3.1用觸發(fā)器設(shè)計(jì)同步計(jì)數(shù)器設(shè)計(jì)方用JK1nn-≥圖3-3-12態(tài)方程,一般通過卡諾圖進(jìn)行,才不容易出錯(cuò),該計(jì)數(shù)器總的卡諾圖如圖3-3-2所示,在方框外的碼是指計(jì)數(shù)器的初態(tài),在方框內(nèi)方格中的碼是指計(jì)數(shù)器的次態(tài),格中的組合碼順序是Q2Q1Q0Q2Q1Q0圖3-3-3nn-≥圖3-3-12態(tài)方程,一般通過卡諾圖進(jìn)行,才不容易出錯(cuò),該計(jì)數(shù)器總的卡諾圖如圖3-3-2所示,在方框外的碼是指計(jì)數(shù)器的初態(tài),在方框內(nèi)方格中的碼是指計(jì)數(shù)器的次態(tài),格中的組合碼順序是Q2Q1Q0Q2Q1Q0圖3-3-3Q0、Q1、Q2QnQnQnQnQnQnQnQnQnQn1(3-0 0QnQnQnQn(3-1 QnQnQnQn(3-2 3JK觸發(fā)器特性方程聯(lián)合,JK3-113-123-133-14JQnK(3-J0Qn (3-K0J1J0Qn (3-K0J10(3-K1Qn J2QnQn K2143-3-43-3-453-3-53.3.2用集成計(jì)數(shù)器設(shè)計(jì)不同模的計(jì)數(shù)器的方法74LS163或74LS90、74LS190、74LS290等也能夠?qū)崿F(xiàn),方法類似。Q3、Q2、Q1、Q010000,00070111~1111,7種組合碼從000~110,設(shè)計(jì)最簡(jiǎn)1101113-3-6(a)所示,隨著0,111種狀態(tài)持續(xù)時(shí)間比其它7種狀態(tài)短很多,瞬間消失。有時(shí)電路的清零可靠性不高,為使在6(a6(b228(a9(a(b3-3-873-3-9用同步置數(shù)法設(shè)計(jì)的74章實(shí)驗(yàn)4.1基礎(chǔ)實(shí)驗(yàn)4章實(shí)驗(yàn)4.1基礎(chǔ)實(shí)驗(yàn)4.1.1 基礎(chǔ)實(shí)驗(yàn)——碼制轉(zhuǎn)換電路的分析及測(cè)掌握使用QuartusII完成簡(jiǎn)單組合電路設(shè)計(jì)的方法2掌握QuartusII開發(fā)環(huán)境設(shè)計(jì)簡(jiǎn)單數(shù)字系統(tǒng)的方法TDS2002VC9807ADSO252164(1)BCD3碼電路邏輯功能表(真值表)4-1-14-1-1BCD4-1-14-1-1BCD1BlockDiagram/SchematicFile,點(diǎn)”O(jiān)K”4-1-24-1-2Insert->Symbol或工具欄的”SymbolTool”快SymbolName框中輸入器件的名稱,如果不清楚器件的名稱,NameOK,將器件放置到合位置,要旋轉(zhuǎn)器件時(shí),在器件上單擊鼠標(biāo)右鍵,Rotate4-1-34-1-3依4-1-3依次輸入實(shí)驗(yàn)電路所有元件,設(shè)定輸入端口(Input、輸出端口(Output,注意端口不能重名。拖動(dòng)到另一要連線元件端口,釋放鼠標(biāo)左鍵,就完成連線。完成實(shí)驗(yàn)電路的輸入檢查無誤File->SaveSave快捷按鈕打開文件保存對(duì)話框,輸入文件名稱(注意:不要用默認(rèn)的block1和元件庫里已有的元件名稱命名原理圖文件勾選“Creatnewporjectbasedonthis4-1-4圖4-1- newporjectwizard頭兩頁都點(diǎn)擊”Next”newporjectwizard頭兩頁都點(diǎn)擊”Next”跳過去,進(jìn)入第三頁器件設(shè)定“Famaly”“MAXII”在“Availabledevices”框選擇3.3V”不能選錯(cuò)器件,否則不圖4-1-5newporjectwizard后兩頁無需再作設(shè)定分別點(diǎn)“Next”和”Finish”完成工程項(xiàng)目的設(shè)定和2ProcessingStartCompilationStartCompilation快捷按示,則需返回檢查原理圖文件,修正后重新編譯。見圖4-1-6所示4-1-633OK4-1-4-1-4-1-8NodeFinder,打開NodeFinder對(duì)話框。見圖4-1-8所示。NodeFinderNodeFinder,打開NodeFinder對(duì)話框。見圖4-1-8所示。NodeFinder對(duì)話框,Filter選“Pins:All”,list,管腳名稱就出現(xiàn)在對(duì)話框中,點(diǎn)擊CopyallToSelectNodeslist,再點(diǎn)OK,管腳(信號(hào)名稱)就出現(xiàn)在波形文件中。先根據(jù)電路狀態(tài)的多少選擇仿真時(shí)間長(zhǎng)度(EndSize種狀態(tài),如果GridSize設(shè)為1mS,每格對(duì)應(yīng)一種狀態(tài),則EndTime不得小于10格的時(shí)間即10mS。Edit菜單打EndTimeGridSize4-1-9aEndTime1uS,GridSize10nS,如果先將“GridSize1mSGridEndTime4-1-4-1-9bEndTimeGridSize定設(shè)定之后在波形界面點(diǎn)擊右鍵菜單“Zoom”——“FitinWindow”使波形界面將波形ForcingHight/LowD0、D1、D2、D34-1-104-1-10242CountValue作為輸入變量。D3、CountValue作為輸入變量。D3、D2、D1、D0作為四位二進(jìn)制變量的前十個(gè)狀態(tài),D0為最低位,在仿真的10mS中,每個(gè)狀態(tài)各持續(xù)1mSD0對(duì)應(yīng)的管腳,再點(diǎn)CountValueCountValue對(duì)話框,Counting選項(xiàng)卡按照默認(rèn)選項(xiàng)不用改變,Timing選項(xiàng)卡中,“Countevery”1mS(GradSize一個(gè)單元時(shí)間D04-1-11示,10mS104-1-11D04格84-1-12圖4-1- D1、D2、D3的設(shè)FunctionalGenerateFunctionalSimulationNetlistStart按鈕,查看結(jié)果波形點(diǎn)擊Report按鈕。如圖4-1-13所示4-1-13查看仿真結(jié)果:如圖4-1-144-1-14BCD認(rèn)真觀察圖中10個(gè)時(shí)刻的狀態(tài),D3D2D1D0由0000遞增到1001,Y3Y2Y1Y01100D3、D2、D1、D0Y3、Y2、Y1、Y0少了就是10進(jìn)制的“3”。400114-1-15配到CPLD指定的I/O口,設(shè)定步驟如下:AssignmentsPins配到CPLD指定的I/O口,設(shè)定步驟如下:AssignmentsPinsPINPLANNERLocation欄4-1-15所示。分配完管腳后必須再次對(duì)工程項(xiàng)目進(jìn)行編譯,使管腳分配生效!但凡修改電路原理圖或改變管腳,完成后都必須對(duì)工程重新編譯,使改動(dòng)生效。完成上述步驟后,在QuartusII的“Tool”菜單中選擇“Programmer”或直接點(diǎn)擊快按鈕,打開編程器界面,系統(tǒng)會(huì)自動(dòng)打開編譯產(chǎn)生的pof文件,勾選Program/Configure,點(diǎn)Start按鈕,進(jìn)度條變到100%,下載完成。如圖4-1-16有時(shí)實(shí)驗(yàn)板由于穩(wěn)定性問題,點(diǎn)Start出現(xiàn)錯(cuò)誤提示,不能下載,可先斷開實(shí)驗(yàn)板電源,4-1-162)下載完成后,找到各個(gè)分配的管腳對(duì)應(yīng)的接線孔,D3、D2、D1、D04個(gè)電平開關(guān),Y3、Y2、Y1、Y04組邏輯指示燈,電平開關(guān)往上撥,輸出邏輯“1”,根據(jù)BCD碼規(guī)律撥動(dòng)電平開關(guān),將測(cè)試結(jié)果記錄在真值表中。4-1-23)BCD74160D3、D2、D1、D0四位輸入變量,74160端輸入的脈沖信號(hào)進(jìn)行計(jì)數(shù),其輸出信號(hào)QD、QC、QB、QA逐次加1,從0000遞增到QuartusII中打開原先QuartusII中打開原先建立的原理圖文件,調(diào)出74160,按4-1-17連接好,注意:4-1-17BCD有新實(shí)驗(yàn)箱的實(shí)驗(yàn)臺(tái):將CP管腳連接到邏輯實(shí)驗(yàn)箱“3.3V”系統(tǒng)中的“Fout”輸出孔將兩位控制開關(guān)分別打到“104-1-18接端4-1-18D0-D3,Y0-Y30—3,4—74-1-19沒有新實(shí)驗(yàn)箱的實(shí)驗(yàn)臺(tái)使用原有的舊實(shí)驗(yàn)箱和CPLD實(shí)驗(yàn)板,將CPLD的CP輸入端連接到邏輯實(shí)驗(yàn)箱1kHz脈沖信號(hào)輸出孔,D0-D3,Y0-Y3分別按順序連接邏輯分析儀0-7測(cè)量通道,如圖4-1-20所示4-1-194-1-194-1-20D3D2D1D00000的時(shí)刻作為記錄0000~1001的狀態(tài),每個(gè)時(shí)刻,D3D2D1D0Y3Y2Y1Y0的邏輯狀態(tài)與真值表時(shí)唯一對(duì)應(yīng)態(tài)。如圖4-1-21234擇器件——編譯工程——電路仿真——分配管腳————電路下載圖4-1-21BCD圖4-1-21BCD4.1.2門電路1了解Altera公司QuartusII24.1.2門電路1了解Altera公司QuartusII2(1)(2)VOH、VOL、Vth閱讀教材QuartusII使用方法,并先在宿舍完成實(shí)驗(yàn)內(nèi)容“(四)CPLD實(shí)驗(yàn)”的仿3TDS-2002數(shù)字示波DLBS-1VC9807A41)14-2-2CD4011ViVo3主要波形參數(shù)的意義見圖4-2-2所示。TDS2002數(shù)字示波器基本使用方法見第1章。4-2-244-2-24ViVo4-2-3Vi/Vo(2)tpd和構(gòu)成電路的器件等因素有關(guān),本次實(shí)驗(yàn)的電路可以看為一個(gè)小系統(tǒng),如圖4-2-4(A)通ViVotpd1tpd2就可以算得信號(hào)通過該系統(tǒng)總的時(shí)間為(tpd1+tpd2)/24成,故通過每個(gè)門的時(shí)間平均值為[(tpd1+tpd2)/2]*(1/4)4-2-2)100KHz的方波信號(hào)輸入到由四個(gè)與非門(CD4011)4-2-(A)Vi50%幅度點(diǎn)與Vo50%幅度點(diǎn)兩tpd1Vi50%幅度點(diǎn)與Vo50%幅度兩點(diǎn)個(gè)點(diǎn)之(B[50%tpd1;tpd21)1與非50%tpd1;tpd21)1與非門的邏輯要求分別對(duì)TTL集成電路芯片74LS00的測(cè)試,方法是在74LS00中選擇一個(gè)與非門,將其兩個(gè)輸入端A、集成電路芯片CD4011接電平開關(guān)(注意芯片接工作電源),輸出端Y接指示燈,撥動(dòng)電平開關(guān)4種不同的組合電平,如圖4-2-5所示,觀察并記錄到其真值表中,如表4-2-1所示;同樣在CD4011中選擇一個(gè)與門進(jìn)行測(cè)試,列表記錄其結(jié)果。4-2-14-2-52TTL74LS86中選擇一個(gè)異或門進(jìn)行測(cè)試,列表374LS32中選擇一個(gè)或門進(jìn)行測(cè)試,474LS02中選擇一個(gè)或非門進(jìn)行測(cè)試,*(4(逐點(diǎn)法和掃描法兩種方法,前者是在門電路的輸入端輸入不同電壓,范圍為0~+5V,相應(yīng)4-2-6ABY00011011CH1Vi,CH2接Vo。示波器CH1Vi,CH2接Vo。示波器在Y-T工作模式下,掃描信號(hào)由示波器的時(shí)基電路提供,橫軸是時(shí)間軸,在X-Y工作模式下,掃描信號(hào)由鋸齒波發(fā)生器提供,橫軸是電壓軸,電壓傳輸特性曲線在中的一個(gè)與非門,A接+5V,BVi,YVoVoVi1Vi。ViVOH、VOL、Vth4-2-74-2-8數(shù)方法:在X-Y格式下,示波器的光標(biāo)功能失效,只能用“格數(shù)(被測(cè)信號(hào)波形中的點(diǎn)與,VOL=2(th=N(靈敏度指示值(伏/格,如圖4-2-8所示。*(5(1)TTLIcc4-2-974LS00,在+5V電源與芯片的工作電源腳(14腳)之間接入毫安表,量程選20mA檔,觀察并記錄Icc的值。4-2-9測(cè)量Icc74LS00274LS002檔,門的另一輸入端懸空,如圖4-2-10所示,觀察并記錄IIL4-2-10測(cè)量IIL3)低電平輸出電流(灌電流)IOL在芯片74LS00其兩個(gè)輸入端懸空,如圖4-2-11所示,調(diào)節(jié)電位器的觸頭,使電壓表的讀數(shù)為0.4V,然后關(guān)掉電源,斷開M、N,測(cè)量R 的電阻值R1,按IOL=4.6V/R1計(jì)算出IOL的值,該門的扇出系數(shù)N=IOL/IIL4-2-11IOL*1)(選做)用圖形輸入法對(duì)兩個(gè)輸入端的與非門(NAND2)QuartusII*2)(選做)然后下載到實(shí)驗(yàn)板上的EPM240芯片中,參照上述與非門的邏輯功能測(cè)試方(AND2(OR2分別進(jìn)行實(shí)驗(yàn),要求同“14-2-125(2).6(2).6(6)如何使用若干個(gè)四輸入與非門YABCD實(shí)現(xiàn)YAB入或非門YABCD實(shí)現(xiàn)YAB四輸入與非門、或非門原理圖見圖4-2-134-2-13(7)(選做)CMOS(8((9((10((11(4-2-144.2組合邏輯4.2.1譯碼器和數(shù)據(jù)選擇器的功能測(cè)4.2組合邏輯4.2.1譯碼器和數(shù)據(jù)選擇器的功能測(cè)試及應(yīng)用123VC9807ACD4511220Ω4(1).74LS1381)分別接電平開關(guān),譯碼輸出端Y0Y7,分別接指示燈,如圖4.124-2-174LS138A0、A1、A23).用譯碼器74LS138實(shí)現(xiàn)數(shù)據(jù)分配功能S14-2-274LS138譯碼功能測(cè)CMOSCD4511LED4-2-3BCDR(220?)D、C、B、1010~11112)熄滅控制端BI、測(cè)試端LTC、B、A4.14電路中,D、C、B2)熄滅控制端BI、測(cè)試端LTC、B、A4.14電路中,D、C、B、ABCDCD4518Q4、Q3、Q2、是否與D、C、B、A的輸入有關(guān)?1)74LS1534-2-4D3、D2、D1、D00A1、A000D0置邏1,觀察指示燈所指示輸出端Q的狀態(tài)與D0是否一致,撥動(dòng)電平開關(guān),改變D1、D2D3邏輯值時(shí),Q狀態(tài)是否改變。然后使A2、A0分別置成01,10,11情況下,重復(fù)上述過程,觀察Q的狀態(tài),并把測(cè)試結(jié)果歸納后填入表4-2-1。4-2-174LS1534-2-474LS1533Q1Q2Q通過三個(gè)與非門(74LS00)4-2-58選一數(shù)據(jù)選擇器,Q0~Q7,1E、A1、A0000~111狀態(tài),選擇相應(yīng)的輸入端數(shù)據(jù),仿照的方法進(jìn)行測(cè)試,把結(jié)果填入表4-2-2EQ0000010100111φφ4-2-284-2-574LS1538選—(4)CPLDEPM240重新實(shí)現(xiàn)上述實(shí)驗(yàn)內(nèi)5(2)4-2-284-2-574LS1538選—(4)CPLDEPM240重新實(shí)現(xiàn)上述實(shí)驗(yàn)內(nèi)5(2)74LS138(3)4-2-3中電阻RQ0000010100111001011101114.2.2裁判表決14.2.2裁判表決1(2).(3).23TDS2002(3).VC9807A4A、B、C三名裁判,A為主裁判,B、C為副裁判,當(dāng)主裁判和一名或一名以上的副裁判認(rèn)為運(yùn)動(dòng)員動(dòng)作合格時(shí),輸出端Y為邏輯1,X為邏輯0,此時(shí)綠燈亮,紅燈滅,蜂鳴器發(fā)出聲音,否則Y為邏輯0,X為邏輯1,綠燈滅,紅燈亮,蜂鳴器不發(fā)出聲音。該電路有三個(gè)輸入變量A、B、C,兩個(gè)輸出量Y和X,Y和X端分別接綠燈和紅燈,由題意可列出YX與變量A、B、C的真值通過化簡(jiǎn)得到Y(jié)X的邏輯式。根5CPLD芯片Y同時(shí)接到綠色指示燈和蜂鳴器,X64.2.3碼制轉(zhuǎn)換電路的2熟練掌握QuartusII開發(fā)環(huán)境設(shè)計(jì)簡(jiǎn)單數(shù)字系統(tǒng)的方法TDS2002VC9807ADSO252164設(shè)計(jì)一個(gè)BCD碼轉(zhuǎn)換為余3碼的轉(zhuǎn)換電路,其轉(zhuǎn)換表見表4.2.3碼制轉(zhuǎn)換電路的2熟練掌握QuartusII開發(fā)環(huán)境設(shè)計(jì)簡(jiǎn)單數(shù)字系統(tǒng)的方法TDS2002VC9807ADSO252164設(shè)計(jì)一個(gè)BCD碼轉(zhuǎn)換為余3碼的轉(zhuǎn)換電路,其轉(zhuǎn)換表見表4-2-3,要求電路用4-2-4-2-347428300000011000101000010010100110110010001110101100001101001011110101000101110011100CPLD&MCU實(shí)驗(yàn)板4-2-根據(jù)表4-2-3G3、G2、G1、G05QuartusIIQuartusII完成實(shí)驗(yàn)電路功能仿真,認(rèn)真觀察波形文件中每一時(shí)刻各個(gè)變量的邏輯sofpof文件下載到實(shí)驗(yàn)板的EPM240T100C5對(duì)于題目一,修改實(shí)驗(yàn)項(xiàng)目的原理圖文件,調(diào)用BCD—十進(jìn)制計(jì)數(shù)器74160,按照?qǐng)DY04-2-3。CP改接邏輯實(shí)驗(yàn)箱1KHz脈沖信號(hào),啟動(dòng)虛擬儀器DSO25216,使用其邏輯分析儀同時(shí)測(cè)量D3、D2、D1、D0和Y3、Y2、Y1、Y0,認(rèn)真觀4741614-2-8連接,CPLD&MCU實(shí)驗(yàn)板4-2-根據(jù)表4-2-3G3、G2、G1、G05QuartusIIQuartusII完成實(shí)驗(yàn)電路功能仿真,認(rèn)真觀察波形文件中每一時(shí)刻各個(gè)變量的邏輯sofpof文件下載到實(shí)驗(yàn)板的EPM240T100C5對(duì)于題目一,修改實(shí)驗(yàn)項(xiàng)目的原理圖文件,調(diào)用BCD—十進(jìn)制計(jì)數(shù)器74160,按照?qǐng)DY04-2-3。CP改接邏輯實(shí)驗(yàn)箱1KHz脈沖信號(hào),啟動(dòng)虛擬儀器DSO25216,使用其邏輯分析儀同時(shí)測(cè)量D3、D2、D1、D0和Y3、Y2、Y1、Y0,認(rèn)真觀4741614-2-8連接,CP2HzD3、D2、D1、D0Y3、Y2、Y1、Y0的狀態(tài)變化規(guī)律是否符合表4-2-4。CP改接邏輯實(shí)驗(yàn)箱1KHz脈沖信號(hào),啟動(dòng)虛擬儀器000000000001000100100011001100100100011001010111011001010111010010001100100111011010111110111110110010101101101111101001111110004-2-64-2-864-2-64-2-86(1).7QuartusII4BCD374160的觸發(fā)脈沖設(shè)定為2mS,則仿真結(jié)束時(shí)間(EndTime)至少多少毫秒?clk(5(4.2.4優(yōu)先報(bào)警電路的12(1).TDS200244.2.4優(yōu)先報(bào)警電路的12(1).TDS20024一般病號(hào)。其中任一類對(duì)象輸入信號(hào)時(shí),指示燈Y0都會(huì)亮,如果四類對(duì)象同時(shí)輸入信號(hào)Y0、Y1、Y24-2-5所示,4-2-5I0、I1、I2、I31,沒有報(bào)警則為邏0,報(bào)警指示Y0、Y1、Y2為輸出變量,亮為邏輯1,滅為邏輯0,列出該報(bào)警裝置的真值6根據(jù)實(shí)驗(yàn)原理圖,采用通用集成芯片和CPLDEPM240滅滅滅ΦΦΦ亮亮亮ΦΦ亮亮滅Φ亮滅亮亮滅滅I0、I1I0、I1、I2、I3Y0、Y1、Y2接指示燈。撥動(dòng)電平開關(guān),74.2.5循環(huán)碼轉(zhuǎn)換為BCD碼的轉(zhuǎn)換電路的4.2.5循環(huán)碼轉(zhuǎn)換為BCD碼的轉(zhuǎn)換電路的設(shè)計(jì)及其顯示電路2VC9807A(1)設(shè)計(jì)題目:設(shè)計(jì)一個(gè)循環(huán)碼轉(zhuǎn)換為BCD并通過BCD動(dòng)數(shù)碼管顯示相應(yīng)的十進(jìn)制數(shù)0、1、2、3、4、5、6、7、8、9。即當(dāng)輸入代表十進(jìn)制的四位循環(huán)碼G3、G2、G1、G0時(shí),能立即轉(zhuǎn)換為4-2-9BCD碼,從而驅(qū)動(dòng)數(shù)碼管顯示相應(yīng)的十進(jìn)制數(shù)。換電路的輸出BCD碼D3、D2、D1、D0的邏輯表達(dá)式,化簡(jiǎn)后,畫出實(shí)驗(yàn)原理圖。CPLDEPM240兩種方式實(shí)現(xiàn),按設(shè)計(jì)電路D1、D08、4、2、1,4-2-7BCD000000000001000100110010001000110110010001110101010101100100011114-2-7BCD000000000001000100110010001000110110010001110101010101100100011111001000110110014.2.6發(fā)電機(jī)控制電路的設(shè)計(jì)4.2.6發(fā)電機(jī)控制電路的設(shè)計(jì)2(4).TDS2002VC9807A4(1)A、B、CXYYXX就能滿足要求;如果兩個(gè)車間YXY都應(yīng)全部啟動(dòng)。請(qǐng)用兩個(gè)異或門和三個(gè)與非門設(shè)計(jì)控制發(fā)電機(jī)X和Y啟動(dòng)的控制電路。XY5實(shí)驗(yàn)電路A、B、三個(gè)輸入端分別接到邏輯實(shí)驗(yàn)器三個(gè)電平開關(guān),輸出端輸入代表車間所有開工情況的8和Y分別接兩個(gè)指示燈。撥動(dòng)電平開關(guān),使A、B、000~111A、B、CX、Y(3)74161、、C、、4-2-10設(shè)P連接到S-1Y”Fout”1KH,0、1、2和、Y端的波形。8個(gè)CP周期,并且各路波形彼此要同步。所4-2-10同步信號(hào)的選擇:一般選擇系統(tǒng)中頻率最低的信號(hào)作為同步信號(hào),本題目中比如系統(tǒng)中Q2的頻率最低,應(yīng)選擇它來作為同步信號(hào)。示波器的調(diào)節(jié):首先,觸發(fā)源的選擇:在示波器“觸發(fā)菜單TRIGMANU4-2-10同步信號(hào)的選擇:一般選擇系統(tǒng)中頻率最低的信號(hào)作為同步信號(hào),本題目中比如系統(tǒng)中Q2的頻率最低,應(yīng)選擇它來作為同步信號(hào)。示波器的調(diào)節(jié):首先,觸發(fā)源的選擇:在示波器“觸發(fā)菜單TRIGMANU中的“信源”選項(xiàng)設(shè)為同步信號(hào)Q2連接的(若Q2連接CH1通道信源”設(shè)為CH1),CLK同時(shí)接到另一個(gè)通道觀測(cè),若此時(shí)波形顯示不穩(wěn)定,則調(diào)節(jié)“觸發(fā)電平旋鈕DSO252166CLK、Q0、Q1、Q2、X、Y六路波形,在邏輯分析儀波形顯示界面中觀察六路波形在每個(gè)時(shí)刻的邏輯6用QuartusII對(duì)設(shè)計(jì)實(shí)驗(yàn)電路進(jìn)行波形仿真,驗(yàn)證設(shè)計(jì)是否符合題目要求7(1)274LS0074LS86實(shí)現(xiàn)YACBCABC將YABCD轉(zhuǎn)化為YABCD74LS003本實(shí)驗(yàn)的動(dòng)態(tài)邏輯功能測(cè)試中能否用Q0作為同步信號(hào)?記錄的結(jié)果會(huì)出現(xiàn)什么問(4.2.7組合電路中的競(jìng)爭(zhēng)與冒險(xiǎn)的研究4.2.7組合電路中的競(jìng)爭(zhēng)與冒險(xiǎn)的研究23(1).TDS2002(2).DLBS-1Y(3).VC9807A44-2-11A、B、C、D接電平開關(guān),F(xiàn)DF的狀態(tài)。0000∽1111共16種組合電平,觀察并列表記錄A、B、C4-2-11在圖4-2-11中B和C圖4-2-12100KHz的方波信號(hào),寫出F的邏輯表達(dá)式,分析F的邏輯功能,并用示波器觀察和描繪AFF4-2-12A、B、C、D接電平開關(guān),F(xiàn)D與F的狀態(tài)。 FFAF端的波形,此時(shí)F是否有冒險(xiǎn)現(xiàn)象?(6)CD4011四個(gè)與非門實(shí)現(xiàn)邏輯函數(shù)FAA實(shí)驗(yàn)原理圖,當(dāng)(6)CD4011四個(gè)與非門實(shí)現(xiàn)邏輯函數(shù)FAA實(shí)驗(yàn)原理圖,當(dāng)A輸入100KHz的方波信號(hào)時(shí),用示波器觀察和描繪A和F端的波A1KHz(7)74LS00四個(gè)與非門實(shí)現(xiàn)邏輯函數(shù)FAA實(shí)驗(yàn)原理圖,當(dāng)A輸入100KHz的方波信號(hào)時(shí),用示波器觀察和描繪A和F端的波A1KHz四個(gè)與非門和爭(zhēng)與冒險(xiǎn)現(xiàn)象A輸入100KHz的方波信號(hào)時(shí),用示波器觀察和描繪A和F端的波形,A1KHz5分析實(shí)驗(yàn)內(nèi)容“6”和“7”冒險(xiǎn)點(diǎn)持續(xù)的時(shí)間長(zhǎng)度與傳輸時(shí)間的關(guān)系,它們的最低的電平值是否達(dá)到它們的門檻電平。如果它們是系統(tǒng)中的一部分,是否對(duì)系統(tǒng)的穩(wěn)定性有(5).有一個(gè)按FACDACDABBC表達(dá)式實(shí)現(xiàn)的組合電路,A、B、C、DB、C、D處于什么邏輯狀態(tài)時(shí),AFA、B、D處于什么狀態(tài)時(shí),CF4.2.8共陽數(shù)碼管顯示1.4.2.8共陽數(shù)碼管顯示1.23TDS2002VC9807A44-2-130~9簡(jiǎn)要提示:四位共陽數(shù)碼管結(jié)構(gòu)如圖4-2-14所示,左邊圖是每位的結(jié)構(gòu),右邊圖是I/O口,BCD選通信號(hào),使譯碼器的輸出驅(qū)動(dòng)相應(yīng)的4-2-14EPM24074160的8Hz,32Hz,128Hz,1024Hz,2048Hz4-2-144-2-144-2-144-2-14(3)42424-2-4-2-83線-87413816線譯碼器加相應(yīng)的門構(gòu)成實(shí)驗(yàn)電路,或使全部使用邏輯門進(jìn)行設(shè)計(jì),用EPM240實(shí)現(xiàn)之。7416156(2(4-2-94-2-3線-87413816線譯碼器加相應(yīng)的門構(gòu)成實(shí)驗(yàn)電路,或使全部使用邏輯門進(jìn)行設(shè)計(jì),用EPM240實(shí)現(xiàn)之。7416156(2(4-2-94-2-10123212343234543456545676567876789878909890190124.3時(shí)序邏輯電路4.3.1觸發(fā)器的測(cè)試及其應(yīng)用RS2TDS-2002數(shù)字雙蹤示波DLBS-1YVC9807A4.3時(shí)序邏輯電路4.3.1觸發(fā)器的測(cè)試及其應(yīng)用RS2TDS-2002數(shù)字雙蹤示波DLBS-1YVC9807A474LS004-3-1R-S觸發(fā)器,其中R、S分別接電平開關(guān),Q、Q4—7中R、S觀察并記錄R、SQ、Q4-3-1RS4-3-1RS4-3-1中R、SP4-3-2RSQQ011011004-3-2RS(2)D4-3-2RS(2)D1)4-3-3RS4-3-4D端接電平開關(guān)1端PRE0端接+5VCLK接單步脈沖開關(guān),輸出端Q接指示燈,撥動(dòng)電平開關(guān),使D分別為邏輯01狀態(tài)下CLKQ端的Qn和Qn1D的上升沿還是下降沿觸發(fā),把結(jié)果填入表4-3-24-3-2D4-3-4D2)DQCLK2CLK1HzCLKQ2CLK閃兩下,Q閃一下。接CLK1KHzCLKQ端的波。分析波形4-3-5用DD001101(3)J-K+5V,J、K端分別接電平開關(guān),CLK接單步脈沖開關(guān),Q4-3-3J、和Qn的狀態(tài),觀察每次按動(dòng)單步脈沖開關(guān)時(shí),Q4-3-3J-K(3)J-K+5V,J、K端分別接電平開關(guān),CLK接單步脈沖開關(guān),Q4-3-3J、和Qn的狀態(tài),觀察每次按動(dòng)單步脈沖開關(guān)時(shí),Q4-3-3J-K4-3-6J-K(2)4-3-6中J、K端接+5V,CLK1KHz4.-3-7CLKQ4-3-7JK(4)3J-K4-3-8接線,由于觸發(fā)器的觸發(fā)脈沖是由低一位的輸出來提供,計(jì)數(shù)器中每一個(gè)觸Q1CP端接單步脈沖開關(guān),按動(dòng)開關(guān),觀察Q1、Q2、Q3的狀態(tài),列表記錄,接著,在最低位Q1CP端接入1KHz方圖4-3- J-K觸發(fā)器構(gòu)成三位二進(jìn)制異步計(jì)數(shù)JK000001010011100101110111(5).(5).3J-K先,CP端接單步脈沖開關(guān),按動(dòng)開關(guān),觀察Q1、Q2、Q3的狀態(tài),列表記錄,接著,在CP1KHzCPQ1、Q2、Q3端的波形,本實(shí)驗(yàn)內(nèi)EPM240圖4-3- J-K觸發(fā)器構(gòu)成三位二進(jìn)制同步計(jì)數(shù)5..4-3-94.3.2四人智力搶答4.3.2四人智力搶答TDS-2002數(shù)字雙蹤示波DLBS-1YVC9807A74LS74,雙J-K4K5(1)4-3-10J-K觸發(fā)器驅(qū)動(dòng)四只燈和編號(hào)的Q1、Q2、Q3、Q44J-K構(gòu)成的輸出電路的輸入端CP1~CP4端均為高電平,當(dāng)其中一個(gè)開關(guān)搶先動(dòng)作時(shí),與之CPLD&MCUEPM240芯片實(shí)現(xiàn)控制電路和譯碼電路,顯示用共陽數(shù)004-3-104-3-104.3.3時(shí)序邏輯器件功能測(cè)試及其簡(jiǎn)單應(yīng)用4.3.3時(shí)序邏輯器件功能測(cè)試及其簡(jiǎn)單應(yīng)用2TDS-2002DLBS-1VC9807A74LS16174LS194BCD4(1).1)8421BCDCPA1HZQD、QC、QB、1KHZQD、QC、QB、QA4-3-1174LS908421BCD2)5421BCDQD、QC、QB5421BCD4-3-1274LS905421BCD4-3-1274LS905421BCD3)5.(1(2)圖4-3- 4-3-149(2).74LS1611)A懸空,用指示燈觀察并列表記錄QD、QC、QB、QA的狀態(tài)變化,驗(yàn)證計(jì)數(shù)功能。4-3-1574LS1612)3)3)D3、D2、D1、D01、0、0、1Q3、Q2、Q1、4)00是否與CPQ3、Q2、Q1、Q0EPM240實(shí)現(xiàn)。4-3-164-3-17是采用置位法接成的六進(jìn)制的電路,N=16-n,nn10N=6D3、D2、D1、D0Q3、Q2、Q1、Q0EPM2401HZ4-3-17實(shí)驗(yàn)原理圖,講述每種計(jì)數(shù)器的工作過程,邏輯電路用EPM240實(shí)現(xiàn)。1)實(shí)驗(yàn)原理圖,講述每種計(jì)數(shù)器的工作過程,邏輯電路用EPM240實(shí)現(xiàn)。1)1HZ波信號(hào),用指示燈觀察并記錄1Q3、1Q2、1Q1、1Q0的狀態(tài),驗(yàn)證十進(jìn)制計(jì)數(shù)功能。接著在CP1A1KHZ信號(hào),觀察并描繪CP1A,1Q3、1Q2、1Q1、1Q04-3-182)4-3-194-3-19計(jì)數(shù)時(shí),把CP1A1Q3、1Q2、1Q1、1Q03)4)NCD4518(4).74LS1941)RD、S0、S11,D3、D2、D1、D04-3-2074LS1942)4-3-20中,先將RD004-3-2074LS1942)4-3-20中,先將RD00。然后撥動(dòng)電平開關(guān),將RD、S0、Q3、Q2、Q1、Q0的狀態(tài),驗(yàn)證其右移功能。3)4-3-20中,先將RD00。然后撥動(dòng)電平開關(guān),將RD、S1、Q3、Q2、Q1、Q0的狀態(tài),驗(yàn)證其左移功能。4)次,用指示燈觀察并列表記錄每次的Q3、Q2、Q1、Q0的狀態(tài),驗(yàn)證其保持功能。54.3.4簡(jiǎn)易交通燈控制電路4.3.4簡(jiǎn)易交通燈控制電路的設(shè)計(jì)23TD--2002數(shù)字雙蹤示波DLBS-1(3).VC9807A41)題目要求:在某交叉路口的南北方向設(shè)置有紅燈(A1、黃燈(B1、綠燈(C12交通規(guī)則,上述信號(hào)燈應(yīng)按圖4.45流程循環(huán)。試用三個(gè)J-K觸發(fā)器和與非門設(shè)計(jì)一個(gè)三位4.456個(gè)與非門和3J-K4-3-212)根據(jù)題意可得2)根據(jù)題意可得整體電路框圖如圖4-3-22所示。其中Q3、Q2、Q1與信號(hào)燈的關(guān)系應(yīng)如表4-3-4所示(表中信號(hào)燈“1”表示亮,“0”表示滅J-K觸發(fā)器的狀態(tài)方程,圖4-3- 4-3-41)題目要求:在某交叉路口的南北方向設(shè)置有紅燈(A1、黃燈(B1、綠燈(C12214-3-23流程循環(huán)。試用EPM2404-3-232)4-3-232)根據(jù)題意可得整體電路框圖如圖4-3-24所示。其中Q3、Q2、Q1與信號(hào)燈的關(guān)系應(yīng)如表4-3-5所示,計(jì)數(shù)器為六進(jìn)制,設(shè)計(jì)方法與題目一相同;從表中列出控制電路的輸出表達(dá)式EPM240型不作限制,只要QuartorII圖4-3- 4-3-5題目要求:在設(shè)計(jì)題目二的基礎(chǔ)上,實(shí)現(xiàn)兩路口燈電亮?xí)r間倒計(jì)時(shí)顯示,每個(gè)方向各用一個(gè)數(shù)碼管顯示,如南北向的數(shù)碼管在紅燈亮?xí)r顯示3、2題目要求:在設(shè)計(jì)題目二的基礎(chǔ)上,實(shí)現(xiàn)兩路口燈電亮?xí)r間倒計(jì)時(shí)顯示,每個(gè)方向各用一個(gè)數(shù)碼管顯示,如南北向的數(shù)碼管在紅燈亮?xí)r顯示3、2、1,接著在綠燈亮?xí)r顯示、2、1,最后在黃燈亮?xí)r顯示1,同時(shí),東西方向的數(shù)碼管在綠燈亮?xí)r顯示2、1,接著在紅燈亮3、2、11,如此不停地循環(huán)重復(fù)。這部分電路與設(shè)計(jì)題目二一起都用EMP240實(shí)現(xiàn)。數(shù)碼管用DLBS-1邏輯實(shí)驗(yàn)箱上帶譯碼的一位共陽數(shù)碼管。簡(jiǎn)要提示:根據(jù)題目要求,可以得到整體電路的框圖如圖4-3-25所示,又根據(jù)BCD碼與顯示字符的關(guān)系,可以把計(jì)數(shù)器每個(gè)狀態(tài)轉(zhuǎn)換為對(duì)應(yīng)的BCD碼,南北向的真值表如表4-3-6D、C、B、ABCD碼經(jīng)過實(shí)驗(yàn)箱內(nèi)置共陰譯碼器7448譯碼,就可以驅(qū)動(dòng)共陰數(shù)碼管,同理,東西向的真值表如表4-3-4-3-254-3-64-3-75EPM2405EPM240lkHzCPCP、Q1、Q2、Q3A1、B1、C1(A2、B2、C2)的波形,熟練掌握使用雙綜示波器測(cè)量多路系統(tǒng)波形的方法。注意描繪波形總的時(shí)間長(zhǎng)度,并且各路波形要同步。用DSO25216虛擬儀器觀察波形,與使用示波器描繪的波形CP1Hz632進(jìn)制同步加法計(jì)數(shù)器,寫出完整化簡(jiǎn)過程,列出驅(qū)動(dòng)方1)1Hz方波信號(hào)作電路的時(shí)鐘輸入脈沖時(shí),CP與各信號(hào)燈發(fā)亮情況7((3)CP1KHz(4.3.5彩燈流水電路的4.3.5彩燈流水電路的23TD--2002數(shù)字雙蹤示波DLBS-1VC9807A74LS90,2-16485CPLD&MCUEPM240實(shí)現(xiàn),電路可分別用如下幾個(gè)方案進(jìn)行(1)用一片十進(jìn)制計(jì)數(shù)器(74LS90)接成八進(jìn)制計(jì)數(shù)器和3/8線譯碼器(74LS138)器(74LS138)實(shí)現(xiàn)。(74LS138)6.實(shí)驗(yàn)步驟(自擬78如果本設(shè)計(jì)題改為:8只燈七暗一亮,且這一亮燈按一定節(jié)拍循環(huán)右移,電路該如何現(xiàn)代城市有各種復(fù)雜的、變化異彩的彩燈圖案(的、有上移的、有下移的)4.3.61(2).24.3.61(2).2TD--2002數(shù)字雙蹤示波DLBS-1VC9807A4設(shè)計(jì)一個(gè)四路彩燈循環(huán)系統(tǒng)。彩燈顯示程序由三個(gè)節(jié)拍組成,第一節(jié)拍時(shí)四路輸出11234輸出依次為0,即從而使第4路彩燈先暗,接著第3、第2、第1路彩燈變暗時(shí)1410441.2.4125(1)4-3-26CPLD&MCUEPM2404-3-26(2).4-3-26D觸發(fā)器(7474)或J-K觸發(fā)器(7473)77(24.49874LS1614.4脈沖電4.4.1集成器件脈沖4.4脈沖電4.4.1集成器件脈沖1掌握5552555TDS-2002雙蹤數(shù)字示波DLBS-1VC9807A4.(1)5551)4-4-1VC、VOVC4-4-1555(2)5551)4-4-2(2)5551)4-4-2Vi、VC、VO各波形的實(shí)際測(cè)量參數(shù),注意各波形要同步VC2100Hz方波、+5VVi、VC、VO4-4-25554-4-3Vi、Vo1Vo的波形,并在坐標(biāo)平面上標(biāo)上各4-4-3CMOS5前應(yīng)該分別在“通道1”和“通道2”設(shè)置菜單中的“”設(shè)定為“”,“探頭”設(shè)定為“1×”,然后調(diào)出光標(biāo)進(jìn)行測(cè)量,注意在必須因此在測(cè)的選,測(cè)量超過兩路信號(hào)時(shí)注意各信號(hào)間的同步關(guān)系。另外,要在坐標(biāo)平面上描繪波形,只要測(cè)量出波形上的特征點(diǎn)的兩個(gè)參(t信號(hào)間的同步關(guān)系。另外,要在坐標(biāo)平面上描繪波形,只要測(cè)量出波形上的特征點(diǎn)的兩個(gè)參(t,v,然后把這些特征點(diǎn)連線,得到示意圖就可以了。6(1)VoVo的脈VCVo7輸出1KHz脈沖波,應(yīng)調(diào)節(jié)什么參數(shù)?實(shí)驗(yàn)(1)5腳接入+2V直流電壓,VcVo555R1R2調(diào)反,CVo頻55512K6.8KC和C1調(diào)反,

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論