數(shù)字電路第三章 時(shí)序邏輯電路的分析與設(shè)計(jì)_第1頁(yè)
數(shù)字電路第三章 時(shí)序邏輯電路的分析與設(shè)計(jì)_第2頁(yè)
數(shù)字電路第三章 時(shí)序邏輯電路的分析與設(shè)計(jì)_第3頁(yè)
數(shù)字電路第三章 時(shí)序邏輯電路的分析與設(shè)計(jì)_第4頁(yè)
數(shù)字電路第三章 時(shí)序邏輯電路的分析與設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩92頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、第三章第三章 時(shí)序邏輯電路的分析與設(shè)計(jì)時(shí)序邏輯電路的分析與設(shè)計(jì) 吳吳 量量 Email: 目目 錄錄 概述概述 3.1 時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 3.2 時(shí)鐘異步狀態(tài)機(jī)的分析時(shí)鐘異步狀態(tài)機(jī)的分析 3.3 時(shí)鐘同步狀態(tài)機(jī)的設(shè)計(jì)時(shí)鐘同步狀態(tài)機(jī)的設(shè)計(jì) 時(shí)序邏輯電路時(shí)序邏輯電路概述概述 反饋電路反饋電路 必須有觸發(fā)器必須有觸發(fā)器 一、時(shí)序邏輯電路的特點(diǎn)一、時(shí)序邏輯電路的特點(diǎn) 1 1、邏輯功能特點(diǎn)、邏輯功能特點(diǎn) 時(shí)序電路在任何時(shí)刻的穩(wěn)定輸出,不僅與該時(shí)刻的時(shí)序電路在任何時(shí)刻的穩(wěn)定輸出,不僅與該時(shí)刻的 輸入信號(hào)有關(guān),而且還與電路原來(lái)的狀態(tài)有關(guān)。輸入信號(hào)有關(guān),而且還與電路原來(lái)的狀態(tài)有關(guān)。 2

2、 2、組成特點(diǎn)、組成特點(diǎn) 組合電路 存儲(chǔ)電路 y1 yj w1wk q1ql x1 xi 輸入 輸出 時(shí)序邏輯電路時(shí)序邏輯電路概述概述 二、二、時(shí)序時(shí)序邏輯功能的表示方法邏輯功能的表示方法 1、邏輯表達(dá)式、邏輯表達(dá)式 X:現(xiàn)在輸入信號(hào):現(xiàn)在輸入信號(hào) Y:現(xiàn)在輸出信號(hào):現(xiàn)在輸出信號(hào) W:存儲(chǔ)電路現(xiàn)在輸入信號(hào):存儲(chǔ)電路現(xiàn)在輸入信號(hào) Q:存儲(chǔ)電路現(xiàn)在輸出信號(hào):存儲(chǔ)電路現(xiàn)在輸出信號(hào) 輸出方程輸出方程 Y(tn) =F X(tn),Q(tn) 驅(qū)動(dòng)方程驅(qū)動(dòng)方程 W(tn) =G X(tn),Q(tn) 狀態(tài)方程狀態(tài)方程 Q(tn+1) =H W(tn),Q(tn) 輸出輸出 下一下一 狀態(tài)狀態(tài) 邏輯邏輯

3、 W 狀態(tài)狀態(tài) 存儲(chǔ)器存儲(chǔ)器 時(shí)鐘時(shí)鐘 (輸出輸出 邏輯邏輯) F (驅(qū)動(dòng)驅(qū)動(dòng) ) (當(dāng)前狀態(tài)當(dāng)前狀態(tài)) Clock Signal (時(shí)鐘時(shí)鐘 信號(hào)信號(hào)) (輸入輸入) X(tn) Q(tn) W(tn) Y(tn) 時(shí)序邏輯電路時(shí)序邏輯電路概述概述 二、二、時(shí)序時(shí)序邏輯功能的表示方法邏輯功能的表示方法 1、邏輯表達(dá)式、邏輯表達(dá)式 輸出方程輸出方程 (output equation):):由當(dāng)前狀態(tài)和當(dāng)前輸入決定由當(dāng)前狀態(tài)和當(dāng)前輸入決定 Y(tn) =F X(tn),Q(tn) 驅(qū)動(dòng)驅(qū)動(dòng)方程(激勵(lì)方程,方程(激勵(lì)方程, excitation equation):): 由當(dāng)前狀態(tài)和當(dāng)前輸入決定由

4、當(dāng)前狀態(tài)和當(dāng)前輸入決定 W(tn) =G X(tn),Q(tn) 狀態(tài)方程狀態(tài)方程 (轉(zhuǎn)移方程,(轉(zhuǎn)移方程,transition equation):): 由激勵(lì)信號(hào)得到下一狀態(tài)由激勵(lì)信號(hào)得到下一狀態(tài) Q(tn+1) =H W(tn),Q(tn) 時(shí)序邏輯電路時(shí)序邏輯電路概述概述 2、狀態(tài)表、卡諾圖、狀態(tài)圖和時(shí)序圖、狀態(tài)表、卡諾圖、狀態(tài)圖和時(shí)序圖 只要注意到,只要注意到,在時(shí)序電路中,時(shí)序電路的現(xiàn)態(tài)和在時(shí)序電路中,時(shí)序電路的現(xiàn)態(tài)和 次態(tài)是由構(gòu)成該時(shí)序電路的觸發(fā)器的現(xiàn)態(tài)和次態(tài)分別次態(tài)是由構(gòu)成該時(shí)序電路的觸發(fā)器的現(xiàn)態(tài)和次態(tài)分別 表示的表示的,那么就不難根據(jù)上一章中介紹過(guò)的有關(guān)方法,那么就不難根據(jù)上

5、一章中介紹過(guò)的有關(guān)方法, 列出時(shí)序電路的狀態(tài)表(對(duì)應(yīng)特性表),畫(huà)出時(shí)序電列出時(shí)序電路的狀態(tài)表(對(duì)應(yīng)特性表),畫(huà)出時(shí)序電 路的卡諾圖、狀態(tài)圖和時(shí)序圖。更具體的作法將在后路的卡諾圖、狀態(tài)圖和時(shí)序圖。更具體的作法將在后 面結(jié)合具體電路進(jìn)行說(shuō)明。面結(jié)合具體電路進(jìn)行說(shuō)明。 時(shí)序邏輯電路時(shí)序邏輯電路概述概述 三、三、時(shí)序邏輯電路一些基本概念時(shí)序邏輯電路一些基本概念 狀態(tài)機(jī)狀態(tài)機(jī)(state machine):用觸發(fā)器構(gòu)造電路,對(duì)時(shí)序電路用觸發(fā)器構(gòu)造電路,對(duì)時(shí)序電路 的通稱(chēng);的通稱(chēng); 時(shí)鐘時(shí)鐘(clocked):這些存儲(chǔ)元件采用了一個(gè)時(shí)鐘輸入;這些存儲(chǔ)元件采用了一個(gè)時(shí)鐘輸入; 時(shí)鐘時(shí)鐘周期周期(clock

6、period):兩次連續(xù)同向轉(zhuǎn)換之間的兩次連續(xù)同向轉(zhuǎn)換之間的時(shí)間時(shí)間; 時(shí)鐘頻率時(shí)鐘頻率(clock frequency):時(shí)鐘周期的時(shí)鐘周期的倒數(shù);倒數(shù); 同步同步(synchronous):構(gòu)成狀態(tài)機(jī)的所有觸發(fā)器都是用同:構(gòu)成狀態(tài)機(jī)的所有觸發(fā)器都是用同 一時(shí)鐘信號(hào);一時(shí)鐘信號(hào); 異步異步(asynchronous):構(gòu)成狀態(tài)機(jī)的觸發(fā)器使用不同的時(shí):構(gòu)成狀態(tài)機(jī)的觸發(fā)器使用不同的時(shí) 鐘信號(hào)。鐘信號(hào)。 時(shí)序邏輯電路時(shí)序邏輯電路概述概述 四、時(shí)序邏輯電路分類(lèi)四、時(shí)序邏輯電路分類(lèi) 1、按各觸發(fā)器、按各觸發(fā)器變化是否同步分為同步時(shí)序電路和異步變化是否同步分為同步時(shí)序電路和異步 時(shí)序電路時(shí)序電路 同步時(shí)

7、序電路同步時(shí)序電路時(shí)鐘同步狀態(tài)機(jī)時(shí)鐘同步狀態(tài)機(jī) 各觸發(fā)器狀態(tài)的變化都在同一時(shí)鐘信號(hào)作用下同時(shí)發(fā)生。各觸發(fā)器狀態(tài)的變化都在同一時(shí)鐘信號(hào)作用下同時(shí)發(fā)生。 異步時(shí)序電路異步時(shí)序電路時(shí)鐘異步狀態(tài)機(jī)時(shí)鐘異步狀態(tài)機(jī) 各觸發(fā)器狀態(tài)的變化不是同步發(fā)生的,有的先翻轉(zhuǎn),有各觸發(fā)器狀態(tài)的變化不是同步發(fā)生的,有的先翻轉(zhuǎn),有 的后翻轉(zhuǎn)。的后翻轉(zhuǎn)。 2、按電路輸出信號(hào)特性分為按電路輸出信號(hào)特性分為Mealy型和型和Moore型型 Mealy型型(米利米利)輸出不僅與觸發(fā)器現(xiàn)態(tài)有關(guān),還決輸出不僅與觸發(fā)器現(xiàn)態(tài)有關(guān),還決 定于電路的輸入。定于電路的輸入。 Moore型型(摩爾摩爾)輸出僅決定于電路的現(xiàn)態(tài)。輸出僅決定于電路的現(xiàn)態(tài)

8、。 時(shí)序邏輯電路時(shí)序邏輯電路概述概述 下一下一 狀態(tài)狀態(tài) 邏輯邏輯 狀態(tài)狀態(tài) 存儲(chǔ)器存儲(chǔ)器 時(shí)鐘時(shí)鐘 輸出輸出 邏輯邏輯 輸入輸入 輸出輸出 時(shí)鐘時(shí)鐘 信號(hào)信號(hào) 激勵(lì)激勵(lì) 當(dāng)前狀態(tài)當(dāng)前狀態(tài) 下一下一 狀態(tài)狀態(tài) 邏輯邏輯 狀態(tài)狀態(tài) 存儲(chǔ)器存儲(chǔ)器 時(shí)鐘時(shí)鐘 輸出輸出 邏輯邏輯 輸入輸入 輸出輸出 時(shí)鐘時(shí)鐘 信號(hào)信號(hào) 激勵(lì)激勵(lì)當(dāng)前狀態(tài)當(dāng)前狀態(tài) 輸出輸出 流水線流水線 存儲(chǔ)器存儲(chǔ)器 時(shí)鐘時(shí)鐘 Moore機(jī):輸出只與狀態(tài)有關(guān)機(jī):輸出只與狀態(tài)有關(guān) 輸出輸出 Mealy機(jī):輸出取決于狀態(tài)和輸入機(jī):輸出取決于狀態(tài)和輸入 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 3.1.1 時(shí)序電路的基本分析方法時(shí)序電路

9、的基本分析方法 給定時(shí)序邏輯電路,待求的是狀態(tài)表、狀態(tài)圖或時(shí)序圖。給定時(shí)序邏輯電路,待求的是狀態(tài)表、狀態(tài)圖或時(shí)序圖。 步驟:步驟: 給定時(shí)序電路給定時(shí)序電路 輸出方程輸出方程 驅(qū)動(dòng)方程驅(qū)動(dòng)方程 計(jì)算計(jì)算 時(shí)鐘方程時(shí)鐘方程 狀態(tài)方程狀態(tài)方程 時(shí)序圖時(shí)序圖狀態(tài)圖狀態(tài)圖狀態(tài)表狀態(tài)表 特性方程特性方程 CP觸發(fā)沿觸發(fā)沿 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 EN EN Q0 Q0 Q1 Q1 EN MAX Q0 Q1 CLK D0 D1 當(dāng)前狀態(tài)當(dāng)前狀態(tài) 激勵(lì)激勵(lì) 輸出輸出 輸入輸入 時(shí)鐘信號(hào)時(shí)鐘信號(hào) 下一狀態(tài)邏輯下一狀態(tài)邏輯 產(chǎn)生激勵(lì)信號(hào)產(chǎn)生激勵(lì)信號(hào)狀態(tài)存儲(chǔ)器狀態(tài)存儲(chǔ)器輸出邏輯輸出邏輯 F

10、F0 FF1 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 2、由電路由電路得到得到驅(qū)動(dòng)驅(qū)動(dòng)方程方程 D0 = Q0nEN + Q0nEN D1 = Q1nEN + Q1nQ0nEN + Q1nQ0nEN 1、時(shí)鐘時(shí)鐘方程方程 CLK0=CLK1=CLK EN EN Q0 Q0 Q1 Q1 EN MAX Q0 Q1 CLK D0 D1 3、由電路得到輸出方程由電路得到輸出方程 MAX = Q1nQ0nEN 4、由驅(qū)動(dòng)方程、由驅(qū)動(dòng)方程和觸發(fā)器和觸發(fā)器特性方程特性方程 得到狀態(tài)方程得到狀態(tài)方程 D觸發(fā)器特性方程觸發(fā)器特性方程:Qn+1= D Q0n+1 = Q0nEN + Q0nEN Q1n+1

11、 = Q1nEN + Q1nQ0nEN + Q1nQ0nEN 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 5、由狀態(tài)方程、由狀態(tài)方程和輸出方程得到和輸出方程得到狀態(tài)表狀態(tài)表 0 0 1 1 0 1 1 0 0 1 0 1 1 0 1 0 0 0 0 0 0 0 0 1 狀態(tài)表狀態(tài)表 EN Q1n Q0n Q1n+1 Q0n+1 MAX 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 Q0n+1 = Q0nEN + Q0nEN Q1n+1 = Q1nEN + Q1nQ0nEN + Q1nQ0nEN S 0 0 0 1 1 0 1 1 EN 0

12、1 00, 0 01, 0 10, 0 11, 0 01, 0 10, 0 11, 0 00, 1 Q1n+1 Q0n+1 , MAXQ1n Q0n 狀態(tài)表狀態(tài)表 MAX = Q1nQ0nEN 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 5、由狀態(tài)方程、由狀態(tài)方程和輸出方程得到和輸出方程得到狀態(tài)表狀態(tài)表 0 0 1 1 0 1 1 0 0 1 0 1 1 0 1 0 0 0 0 0 0 0 0 1 狀態(tài)表狀態(tài)表 EN Q1n Q0n Q1n+1 Q0n+1 MAX 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 Q0n+1 = Q0nEN +

13、 Q0nEN Q1n+1 = Q1nEN + Q1nQ0nEN + Q1nQ0nEN S 0 0 0 1 1 0 1 1 EN 0 1 00, 0 01, 0 10, 0 11, 0 01, 0 10, 0 11, 0 00, 1 Q1* Q0*, MAXQ1 Q0 狀態(tài)表狀態(tài)表 MAX = Q1nQ0nEN 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 6、畫(huà)狀態(tài)圖畫(huà)狀態(tài)圖 S 0 0 0 1 1 0 1 1 EN 0 1 00, 0 01, 0 10, 0 11, 0 01, 0 10, 0 11, 0 00, 1 Q1* Q0*, MAXQ1 Q0 狀態(tài)表狀態(tài)表 00 EN=0 MAX

14、=0 01 EN=1 MAX=0 EN=1 MAX=1 EN=0 MAX=0 EN=0 MAX=0 EN=0 MAX=0 11 EN=1 MAX=0 10 EN=1 MAX=0 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 7、畫(huà)時(shí)序圖畫(huà)時(shí)序圖 具有具有使能端使能端EN的的2位二進(jìn)制加法計(jì)數(shù)器位二進(jìn)制加法計(jì)數(shù)器 電路輸出與輸入有關(guān)電路輸出與輸入有關(guān) Mealy機(jī)機(jī) 8、邏輯功能描述、邏輯功能描述 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 EN EN Q0 Q0 Q1 Q1 EN Q0 Q1 CLK D0 D1 Mealy機(jī)機(jī)Moore機(jī)機(jī) MAXS =Q1Q0 MAX = ENQ1Q0

15、 Mealy機(jī)機(jī) MAXMAXS 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 狀態(tài)表狀態(tài)表 S 0 0 0 1 1 0 1 1 EN 0 1 00 01 10 11 01 10 11 00 Q1* Q0*Q1 Q0 MAXS 0 0 0 1 對(duì)應(yīng)的對(duì)應(yīng)的Moore機(jī)的狀態(tài)表和狀態(tài)圖機(jī)的狀態(tài)表和狀態(tài)圖 EN=0 00 MAXS=0 01 MAXS=0 10 MAXS=0 11 MAXS=1 EN=0 EN=0EN=0 EN=1 EN=1 EN=1 EN=1 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 S 0 0 0 1 1 0 1 1 EN 0 1 00, 0 01, 0 10, 0 1

16、1, 0 01, 0 10, 0 11, 0 00, 1 Q1* Q0* ,MAXQ1 Q0 Mealy機(jī)狀態(tài)表機(jī)狀態(tài)表 Moore機(jī)狀態(tài)表機(jī)狀態(tài)表 S 0 0 0 1 1 0 1 1 EN 0 1 00 01 10 11 01 10 11 00 Q1* Q0*Q1 Q0 MAXS 0 0 0 1 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 MAX MAXS 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 例:時(shí)鐘同步狀態(tài)機(jī)分析(例:時(shí)鐘同步狀態(tài)機(jī)分析(J-K觸發(fā)器)觸發(fā)器) CP X Y J Q CLK K Q & & & & J Q CLK K Q & & FF0 FF1 Y = X

17、Q1 Q0 J0 = (X Q1) K0 = (X Q1) J1 = X Q0 K1 = (X Q0) 1、時(shí)鐘方程、時(shí)鐘方程 CLK0=CLK1=CP 2、由、由電路電路得到驅(qū)動(dòng)方程得到驅(qū)動(dòng)方程 3、由電路得到輸出方程由電路得到輸出方程 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 例:時(shí)鐘同步狀態(tài)機(jī)分析(例:時(shí)鐘同步狀態(tài)機(jī)分析(J-K觸發(fā)器)觸發(fā)器) 4、得到得到狀態(tài)方程狀態(tài)方程 J-K觸發(fā)器觸發(fā)器特性方程特性方程為:為:Q* = JQ + KQ Q0* = J0 Q0 + K0 Q0= (X+Q1) Q0 + X Q1 Q0 = Q1Q0 + XQ0 + XQ1Q0 = X Q0 Q1

18、 + X Q0 Q1 Q1* = J1 Q1 + K1 Q1 Y = X Q1 Q0 J0 = (X Q1) K0 = (X Q1) J1 = X Q0 K1 = (X Q0) 1、時(shí)鐘方程、時(shí)鐘方程 CLK0=CLK1=CLK 2、由、由電路電路得到驅(qū)動(dòng)方程得到驅(qū)動(dòng)方程 3、由電路得到輸出方程由電路得到輸出方程 = X+Q1 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 例:時(shí)鐘同步狀態(tài)機(jī)分析(例:時(shí)鐘同步狀態(tài)機(jī)分析(J-K觸發(fā)器)觸發(fā)器) 5、由狀態(tài)方程和輸出方程列由狀態(tài)方程和輸出方程列狀態(tài)表狀態(tài)表 Q0* = Q1Q0 + XQ0 + XQ1Q0 Q1* = X Q0 Q1 + X Q

19、0 Q1 Y = X Q1 Q0 X Q1 Q0 + X Q1 Q0 0 0 1 0 1 1 1 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 狀態(tài)表狀態(tài)表 X Q1 Q0 Q1 *Q0* Y 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 S 0 0 0 1 1 0 1 1 X 0 1 00, 0 10, 0 11, 0 00, 0 01, 0 01, 0 01, 0 00, 1 Q1 *Q0*, YQ1 Q0 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 例:時(shí)鐘同步狀態(tài)機(jī)分析(例:時(shí)鐘同步狀態(tài)機(jī)分析(J-K觸發(fā)器)觸

20、發(fā)器) 6、畫(huà)狀態(tài)圖、畫(huà)狀態(tài)圖 S 0 0 0 1 1 0 1 1 X 0 1 00, 0 10, 0 11, 0 00, 0 01, 0 01, 0 01, 0 00, 1 Q1 *Q0*, YQ1 Q0 00 1/00/0 01 1/0 1/0 10 0/0 11 0/0 0/0 1/1 X/Y 狀態(tài)狀態(tài)00:開(kāi)始判斷:開(kāi)始判斷 狀態(tài)狀態(tài)01:輸入一個(gè):輸入一個(gè)1 狀態(tài)狀態(tài)10:連續(xù)輸入:連續(xù)輸入10 狀態(tài)狀態(tài)11:連續(xù)輸入:連續(xù)輸入100 邏輯功能:邏輯功能:1001序列檢測(cè)器序列檢測(cè)器 輸入端連續(xù)輸入輸入端連續(xù)輸入1001時(shí),輸出時(shí),輸出1 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分

21、析 時(shí)鐘同步狀態(tài)機(jī)分析練習(xí)時(shí)鐘同步狀態(tài)機(jī)分析練習(xí)1 1 Y = Q2 Q3 J1 = (Q2 Q3) K1 =1 J2 = Q1 K2 = (Q1 Q3 ) 1、時(shí)鐘方程、時(shí)鐘方程 CLK0=CLK1=CLK2=CP 3、由電路得到輸出方程由電路得到輸出方程 2、由電路得到驅(qū)動(dòng)方程、由電路得到驅(qū)動(dòng)方程 J3 = Q1 Q2 K3 = Q2 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 時(shí)鐘同步狀態(tài)機(jī)分析練習(xí)時(shí)鐘同步狀態(tài)機(jī)分析練習(xí)1 Y = Q2 Q3 J1 = (Q2 Q3) K1 =1 J2 = Q1 K2 = (Q1 Q3 ) 3、由電路得到輸出方程由電路得到輸出方程 2、由電路得到驅(qū)動(dòng)

22、方程、由電路得到驅(qū)動(dòng)方程 J3 = Q1 Q2 K3 = Q2 4、得到得到狀態(tài)方程狀態(tài)方程 J-K觸發(fā)器觸發(fā)器特性方程特性方程為:為:Q* = JQ + KQ Q1* = J1 Q1 + K1 Q1= (Q2Q3) Q1 Q2* = J2 Q2 + K2 Q2= Q1Q2 + Q1 Q3Q2 Q3* = J3 Q3 + K3 Q3= Q1Q2Q3 + Q2 Q3 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 時(shí)鐘同步狀態(tài)機(jī)分析練習(xí)時(shí)鐘同步狀態(tài)機(jī)分析練習(xí)1 Y = Q2 Q3 Q1* = (Q2Q3) Q1 Q2* = Q1Q2 + Q1 Q3Q2 Q3* = Q1Q2Q3 + Q2 Q3

23、0 0 0 1 1 1 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 Y * 1 * 2 * 3 QQQ 123 QQQ 0 1 1 0 0 1 0 0 1 0 1 0 1 0 0 0 Q1* = Q3 Q1 + Q2 Q1 Q2* = Q2 Q1 + Q3 Q2 Q1 Q3* = Q3 Q2 Q1 + Q3 Q2 Y = Q3 Q2 5、由狀態(tài)方程和輸出方程列狀態(tài)表、由狀態(tài)方程和輸出方程列狀態(tài)表 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 時(shí)鐘同步狀態(tài)機(jī)分析練習(xí)時(shí)鐘同步狀態(tài)機(jī)分析練習(xí)1 6、畫(huà)狀態(tài)圖

24、畫(huà)狀態(tài)圖 0 0 0 1 1 1 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 Y * 1 * 2 * 3 QQQ 123 QQQ 0 1 1 0 0 1 0 0 1 0 1 0 1 0 0 0 000/0 Q3Q2Q1/Y 010/0 011/0100/0 101/0 110/1001/0 111/1 Self startup 自自啟動(dòng)的啟動(dòng)的 能自啟動(dòng)的模能自啟動(dòng)的模7 加法計(jì)數(shù)器,對(duì)加法計(jì)數(shù)器,對(duì) 時(shí)鐘信號(hào)計(jì)數(shù),輸出為進(jìn)位脈沖時(shí)鐘信號(hào)計(jì)數(shù),輸出為進(jìn)位脈沖 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分

25、析 時(shí)鐘同步狀態(tài)機(jī)分析練習(xí)時(shí)鐘同步狀態(tài)機(jī)分析練習(xí)1 7、畫(huà)時(shí)序圖、畫(huà)時(shí)序圖 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 時(shí)鐘同步狀態(tài)機(jī)分析練習(xí)時(shí)鐘同步狀態(tài)機(jī)分析練習(xí)2 Y = AQ2Q1 + AQ2Q1 D1 = Q1 D2 = A Q1 Q2 1、時(shí)鐘方程、時(shí)鐘方程 CLK0 = CLK1 = CP 3、由電路得到輸出方程由電路得到輸出方程 2、由電路得到驅(qū)動(dòng)方程、由電路得到驅(qū)動(dòng)方程 4、得到狀態(tài)方程、得到狀態(tài)方程 Q1* = D1 = Q1 Q2* = D2 = A Q1 Q2 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 時(shí)鐘同步狀態(tài)機(jī)分析練習(xí)時(shí)鐘同步狀態(tài)機(jī)分析練習(xí)2 Q1* =

26、Q1 Q2* = A Q1 Q2 Y = AQ2Q1 + AQ2Q1 A Q2 Q1 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 Q2* Q1* Y 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 0 0 0 0 1 0 1 0 0 S 0 0 0 1 1 0 1 1 A 0 1 01, 0 10, 0 11, 0 00, 1 11, 1 00, 0 01, 0 10, 0 Q2*Q1*, YQ2Q1 5、由狀態(tài)方程和輸出方程列狀態(tài)表、由狀態(tài)方程和輸出方程列狀態(tài)表 3.1時(shí)鐘同步狀態(tài)機(jī)的分析時(shí)鐘同步狀態(tài)機(jī)的分析 時(shí)鐘同步狀態(tài)機(jī)分析

27、練習(xí)時(shí)鐘同步狀態(tài)機(jī)分析練習(xí)2 6、畫(huà)狀態(tài)圖畫(huà)狀態(tài)圖 S 0 0 0 1 1 0 1 1 A 0 1 01, 0 10, 0 11, 0 00, 1 11, 1 00, 0 01, 0 10, 0 Q2*Q1*, YQ2Q1 功能功能描述:可逆計(jì)數(shù)器描述:可逆計(jì)數(shù)器 A=0加法,加法,A=1減法減法 3.2時(shí)鐘異步時(shí)鐘異步狀態(tài)機(jī)的分析狀態(tài)機(jī)的分析 例:試?yán)涸嚠?huà)出下圖所示時(shí)序邏輯電路的狀態(tài)圖和時(shí)序圖畫(huà)出下圖所示時(shí)序邏輯電路的狀態(tài)圖和時(shí)序圖 3.2時(shí)鐘異步時(shí)鐘異步狀態(tài)機(jī)的分析狀態(tài)機(jī)的分析 X X X X 0 0 1 0 1 0 0 1 1 1 0 0 0 0 0 0 1 0 0 1 0 1 0 0

28、 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 CP2 CP1 CP0 (CP) (Q0) (CP) Q2n1 Q1n1 Q0n1Q2n Q1n Q0n 時(shí)鐘次態(tài)現(xiàn)態(tài) 狀態(tài)表及狀態(tài)圖 3.2時(shí)鐘異步時(shí)鐘異步狀態(tài)機(jī)的分析狀態(tài)機(jī)的分析 時(shí)序圖時(shí)序圖 3.2時(shí)鐘異步時(shí)鐘異步狀態(tài)機(jī)的分析狀態(tài)機(jī)的分析 時(shí)鐘異步狀態(tài)機(jī)分析練習(xí)時(shí)鐘異步狀態(tài)機(jī)分析練習(xí) 1、時(shí)鐘方程、時(shí)鐘方程 CLK0 = CLK2 = CP CLK1 = Q0 3、由電路得到輸出方程由電路得到輸出方程 2、由電路得到驅(qū)動(dòng)方程、由電路得到驅(qū)動(dòng)方程 J0 = Q2 K0 = 1 J1 = 1 K

29、1 = 1 J2 = Q0 Q1 K2 = 1 電路沒(méi)有輸出信號(hào),因此不必列寫(xiě)輸出方程電路沒(méi)有輸出信號(hào),因此不必列寫(xiě)輸出方程 3.2時(shí)鐘異步時(shí)鐘異步狀態(tài)機(jī)的分析狀態(tài)機(jī)的分析 4、得到狀態(tài)方程、得到狀態(tài)方程 Q0* = J0 Q0 + K0 Q0= Q2 Q0 Q1* = J1 Q1 + K1 Q1= Q1 Q2* = J2 Q2 + K2 Q2= Q2 Q1Q0 J-K觸發(fā)器特性方程為:觸發(fā)器特性方程為: Q* = JQ + KQ 5、由狀態(tài)方程和輸出方程列狀態(tài)表、由狀態(tài)方程和輸出方程列狀態(tài)表 X X X X 3.2時(shí)鐘異步時(shí)鐘異步狀態(tài)機(jī)的分析狀態(tài)機(jī)的分析 6、畫(huà)狀態(tài)圖畫(huà)狀態(tài)圖 7、畫(huà)時(shí)序圖、

30、畫(huà)時(shí)序圖 邏輯功能:邏輯功能: 5進(jìn)制異步計(jì)數(shù)器進(jìn)制異步計(jì)數(shù)器 課前習(xí)題課前習(xí)題 3.3 時(shí)鐘同步狀態(tài)機(jī)設(shè)計(jì)時(shí)鐘同步狀態(tài)機(jī)設(shè)計(jì) 給定的是設(shè)計(jì)要求,或者是一段文字描述,或者是給定的是設(shè)計(jì)要求,或者是一段文字描述,或者是 狀態(tài)圖,待求的是滿(mǎn)足要求的時(shí)序電路狀態(tài)圖,待求的是滿(mǎn)足要求的時(shí)序電路。 進(jìn)行邏輯抽進(jìn)行邏輯抽 象,建立原始象,建立原始 狀態(tài)圖狀態(tài)圖 進(jìn)行狀態(tài)化進(jìn)行狀態(tài)化 簡(jiǎn),求最簡(jiǎn)簡(jiǎn),求最簡(jiǎn) 狀態(tài)圖狀態(tài)圖 畫(huà)邏輯畫(huà)邏輯 電路圖電路圖 檢查設(shè)計(jì)的檢查設(shè)計(jì)的 電路能否自電路能否自 啟動(dòng)啟動(dòng) 求時(shí)鐘方程求時(shí)鐘方程 輸出方程和輸出方程和 狀態(tài)方程狀態(tài)方程 進(jìn)行狀態(tài)分配,進(jìn)行狀態(tài)分配, 畫(huà)出用二進(jìn)制編畫(huà)

31、出用二進(jìn)制編 碼后的狀態(tài)圖碼后的狀態(tài)圖 一、設(shè)計(jì)的一般步驟一、設(shè)計(jì)的一般步驟 選觸發(fā)器,選觸發(fā)器, 求驅(qū)動(dòng)方程求驅(qū)動(dòng)方程 3.3 時(shí)鐘同步狀態(tài)機(jī)設(shè)計(jì)時(shí)鐘同步狀態(tài)機(jī)設(shè)計(jì) n進(jìn)行邏輯抽象,建立原始狀態(tài)圖進(jìn)行邏輯抽象,建立原始狀態(tài)圖( (表表) )(類(lèi)似編程過(guò)程)(類(lèi)似編程過(guò)程) 分析給定設(shè)計(jì)要求,確定輸入變量、輸出變量、電路內(nèi)部狀態(tài)間分析給定設(shè)計(jì)要求,確定輸入變量、輸出變量、電路內(nèi)部狀態(tài)間 的關(guān)系及狀態(tài)數(shù);的關(guān)系及狀態(tài)數(shù); 定義輸入變量、輸出變量邏輯狀態(tài)的含義,進(jìn)行狀態(tài)賦值,對(duì)電定義輸入變量、輸出變量邏輯狀態(tài)的含義,進(jìn)行狀態(tài)賦值,對(duì)電 路的各個(gè)狀態(tài)進(jìn)行編號(hào);路的各個(gè)狀態(tài)進(jìn)行編號(hào); 按照題意建立原始

32、狀態(tài)圖。按照題意建立原始狀態(tài)圖。 n進(jìn)行狀態(tài)化簡(jiǎn),求最簡(jiǎn)狀態(tài)圖進(jìn)行狀態(tài)化簡(jiǎn),求最簡(jiǎn)狀態(tài)圖 確定等價(jià)狀態(tài):原始狀態(tài)圖中,凡是在輸入相同時(shí),輸出相同、確定等價(jià)狀態(tài):原始狀態(tài)圖中,凡是在輸入相同時(shí),輸出相同、 要轉(zhuǎn)換到的次態(tài)也相同的狀態(tài),都是等價(jià)狀態(tài);要轉(zhuǎn)換到的次態(tài)也相同的狀態(tài),都是等價(jià)狀態(tài); 合并等價(jià)狀態(tài),畫(huà)最簡(jiǎn)狀態(tài)圖:多個(gè)等價(jià)狀態(tài)合并成一個(gè)狀態(tài),合并等價(jià)狀態(tài),畫(huà)最簡(jiǎn)狀態(tài)圖:多個(gè)等價(jià)狀態(tài)合并成一個(gè)狀態(tài), 多余的都去掉。多余的都去掉。 n進(jìn)行狀態(tài)分配,畫(huà)出用二進(jìn)制數(shù)進(jìn)行編碼后的狀態(tài)圖進(jìn)行狀態(tài)分配,畫(huà)出用二進(jìn)制數(shù)進(jìn)行編碼后的狀態(tài)圖 確定二進(jìn)制代碼的位數(shù)確定二進(jìn)制代碼的位數(shù) 對(duì)電路狀態(tài)進(jìn)行編碼對(duì)電路狀態(tài)

33、進(jìn)行編碼 畫(huà)出編碼后的狀態(tài)圖畫(huà)出編碼后的狀態(tài)圖 3.3 時(shí)鐘同步狀態(tài)機(jī)設(shè)計(jì)時(shí)鐘同步狀態(tài)機(jī)設(shè)計(jì) n求時(shí)鐘方程、輸出方程和狀態(tài)方程求時(shí)鐘方程、輸出方程和狀態(tài)方程 求時(shí)鐘方程(如果采用異步方案,則需根據(jù)狀態(tài)先畫(huà)出時(shí)序圖求時(shí)鐘方程(如果采用異步方案,則需根據(jù)狀態(tài)先畫(huà)出時(shí)序圖 ) 求輸出方程(采用公式法或圖形法求最簡(jiǎn)與或表達(dá)式,無(wú)效狀態(tài)的求輸出方程(采用公式法或圖形法求最簡(jiǎn)與或表達(dá)式,無(wú)效狀態(tài)的 處理處理 ) 求狀態(tài)方程(目的是求驅(qū)動(dòng)方程)求狀態(tài)方程(目的是求驅(qū)動(dòng)方程) n采用同步方案時(shí)采用同步方案時(shí) 可以由狀態(tài)圖可以由狀態(tài)圖直接畫(huà)卡諾圖,或由狀態(tài)圖列出狀態(tài)表再畫(huà)卡諾圖;直接畫(huà)卡諾圖,或由狀態(tài)圖列出狀態(tài)

34、表再畫(huà)卡諾圖; 利用卡諾圖利用卡諾圖,用圖形法求次態(tài)的最簡(jiǎn)與或式。,用圖形法求次態(tài)的最簡(jiǎn)與或式。 對(duì)約束項(xiàng)的處理對(duì)約束項(xiàng)的處理最小冒險(xiǎn)法、最小成本法。最小冒險(xiǎn)法、最小成本法。 n采用異步方案時(shí),若注意一些特殊約束項(xiàng)的確認(rèn)和處理,則可以得到采用異步方案時(shí),若注意一些特殊約束項(xiàng)的確認(rèn)和處理,則可以得到 更加簡(jiǎn)單的狀態(tài)方程更加簡(jiǎn)單的狀態(tài)方程 電路無(wú)效狀態(tài)對(duì)應(yīng)的最小項(xiàng)可當(dāng)成約束項(xiàng)處理電路無(wú)效狀態(tài)對(duì)應(yīng)的最小項(xiàng)可當(dāng)成約束項(xiàng)處理 對(duì)于在輸入對(duì)于在輸入CPCP信號(hào)到來(lái)電路轉(zhuǎn)換狀態(tài)時(shí),不具備時(shí)鐘條件的觸發(fā)器,該時(shí)信號(hào)到來(lái)電路轉(zhuǎn)換狀態(tài)時(shí),不具備時(shí)鐘條件的觸發(fā)器,該時(shí) 刻電路的現(xiàn)在狀態(tài)所對(duì)應(yīng)的最小項(xiàng)也可以當(dāng)成約束項(xiàng)

35、??屉娐返默F(xiàn)在狀態(tài)所對(duì)應(yīng)的最小項(xiàng)也可以當(dāng)成約束項(xiàng)。 3.3 時(shí)鐘同步狀態(tài)機(jī)設(shè)計(jì)時(shí)鐘同步狀態(tài)機(jī)設(shè)計(jì) n選擇觸發(fā)器,求選擇觸發(fā)器,求驅(qū)動(dòng)方程驅(qū)動(dòng)方程 選擇觸發(fā)器選擇觸發(fā)器:通常選擇:通常選擇JKJK或或D D觸發(fā)器,觸發(fā)器的個(gè)數(shù)等于對(duì)電路狀觸發(fā)器,觸發(fā)器的個(gè)數(shù)等于對(duì)電路狀 態(tài)進(jìn)行編碼的二進(jìn)制代碼的位數(shù),即為態(tài)進(jìn)行編碼的二進(jìn)制代碼的位數(shù),即為n n 變換狀態(tài)方程,使之具有和觸發(fā)器特性方程相一致的表達(dá)式形式。變換狀態(tài)方程,使之具有和觸發(fā)器特性方程相一致的表達(dá)式形式。 與特性方程進(jìn)行比較,按照變量相同、系數(shù)相等、兩個(gè)方程必等與特性方程進(jìn)行比較,按照變量相同、系數(shù)相等、兩個(gè)方程必等 的原則,求出驅(qū)動(dòng)方程,

36、即各個(gè)觸發(fā)器同步輸入端信號(hào)的邏輯表的原則,求出驅(qū)動(dòng)方程,即各個(gè)觸發(fā)器同步輸入端信號(hào)的邏輯表 達(dá)式。達(dá)式。 n畫(huà)邏輯電路圖畫(huà)邏輯電路圖 先畫(huà)觸發(fā)器,并進(jìn)行必要的編號(hào),標(biāo)出有關(guān)的輸入端和輸出端。先畫(huà)觸發(fā)器,并進(jìn)行必要的編號(hào),標(biāo)出有關(guān)的輸入端和輸出端。 按照時(shí)鐘方程、驅(qū)動(dòng)方程和輸出方程連線。有時(shí)還需要對(duì)驅(qū)動(dòng)方按照時(shí)鐘方程、驅(qū)動(dòng)方程和輸出方程連線。有時(shí)還需要對(duì)驅(qū)動(dòng)方 程和輸出方程作適當(dāng)變換,以便利用規(guī)定或已有的門(mén)電路。程和輸出方程作適當(dāng)變換,以便利用規(guī)定或已有的門(mén)電路。 n檢查設(shè)計(jì)的電路能否自啟動(dòng)檢查設(shè)計(jì)的電路能否自啟動(dòng) 將電路無(wú)效狀態(tài)依次代入狀態(tài)方程進(jìn)行計(jì)算,觀察在輸入將電路無(wú)效狀態(tài)依次代入狀態(tài)方程

37、進(jìn)行計(jì)算,觀察在輸入CPCP信號(hào)信號(hào) 操作下能否回到有效狀態(tài)。如果無(wú)效狀態(tài)形成了循環(huán),則所設(shè)計(jì)操作下能否回到有效狀態(tài)。如果無(wú)效狀態(tài)形成了循環(huán),則所設(shè)計(jì) 的電路不能自啟動(dòng),反之則能自啟動(dòng)。的電路不能自啟動(dòng),反之則能自啟動(dòng)。 若電路不能自啟動(dòng),則應(yīng)采取措施予以解決。例如,或修改設(shè)計(jì)若電路不能自啟動(dòng),則應(yīng)采取措施予以解決。例如,或修改設(shè)計(jì) 重新進(jìn)行狀態(tài)分配,或利用觸發(fā)器的異步輸入端強(qiáng)行預(yù)置到有效重新進(jìn)行狀態(tài)分配,或利用觸發(fā)器的異步輸入端強(qiáng)行預(yù)置到有效 狀態(tài)等。狀態(tài)等。 3.3 時(shí)鐘同步狀態(tài)機(jī)設(shè)計(jì)時(shí)鐘同步狀態(tài)機(jī)設(shè)計(jì) 設(shè)計(jì)入門(mén):設(shè)計(jì)入門(mén):兩個(gè)簡(jiǎn)單的例子兩個(gè)簡(jiǎn)單的例子 設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)3 3位二進(jìn)制模位二

38、進(jìn)制模8 8計(jì)數(shù)器計(jì)數(shù)器 設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)110110序列檢測(cè)器序列檢測(cè)器 狀態(tài)表設(shè)計(jì)舉例狀態(tài)表設(shè)計(jì)舉例 例一例一;例二例二 猜謎游戲猜謎游戲 設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)3位二進(jìn)制模位二進(jìn)制模8計(jì)數(shù)器計(jì)數(shù)器 1、邏輯抽象,得到狀態(tài)圖(表)、邏輯抽象,得到狀態(tài)圖(表) 2、狀態(tài)編碼、狀態(tài)編碼 取自然二進(jìn)制數(shù)取自然二進(jìn)制數(shù) 000111作作 為為 S0 S7 的編碼的編碼 對(duì)時(shí)鐘信號(hào)計(jì)數(shù),可不用輸入對(duì)時(shí)鐘信號(hào)計(jì)數(shù),可不用輸入 Moore機(jī)機(jī) 取進(jìn)位信號(hào)為輸出變量取進(jìn)位信號(hào)為輸出變量 需要需要8個(gè)有效狀態(tài)個(gè)有效狀態(tài) S0/0S1/0 S3/0 S4/0 S2/0 S5/0 S6/0 S7/1 000 111

39、110 101 001 010 011 100 設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)3位二進(jìn)制模位二進(jìn)制模8計(jì)數(shù)器計(jì)數(shù)器 3、構(gòu)造構(gòu)造狀態(tài)狀態(tài)表表,求取,求取 狀態(tài)方程和狀態(tài)方程和 輸出方程輸出方程 S0/0S1/0 S3/0 S4/0 S2/0 S5/0 S7/1 S6/0 000 111 110 101 001 010 011 100 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 Q2 Q1 Q0Q2*Q1*Q0*C S0 S1 S2 S3 S4 S5 S6 S7 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0

40、0 0 0 0 0 0 0 1 設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)3位二進(jìn)制模位二進(jìn)制模8計(jì)數(shù)器計(jì)數(shù)器 3、構(gòu)造狀態(tài)表構(gòu)造狀態(tài)表,求取,求取 狀態(tài)方程和狀態(tài)方程和 輸出方程輸出方程 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 Q2 Q1 Q0Q2*Q1*Q0*C S0 S1 S2 S3 S4 S5 S6 S7 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 0 0 0 0 0 0 0 1 0 1 Q1Q0 Q2 Q0* 00 01 11 10 1 0 0 1 1 0 0 1 Q0* = Q0 設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)3位

41、二進(jìn)制模位二進(jìn)制模8計(jì)數(shù)器計(jì)數(shù)器 3、構(gòu)造狀態(tài)表構(gòu)造狀態(tài)表,求取,求取 狀態(tài)方程和狀態(tài)方程和 輸出方程輸出方程 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 Q2 Q1 Q0Q2*Q1*Q0*C S0 S1 S2 S3 S4 S5 S6 S7 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 0 0 0 0 0 0 0 1 0 1 Q1Q0 Q2 Q1* 00 01 11 10 0 1 0 1 0 1 0 1 Q0* = Q0 Q1* = Q1Q0 + Q1Q0 設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)3位二進(jìn)制模位二進(jìn)制

42、模8計(jì)數(shù)器計(jì)數(shù)器 3、構(gòu)造狀態(tài)表構(gòu)造狀態(tài)表,求取,求取 狀態(tài)方程和狀態(tài)方程和 輸出方程輸出方程 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 Q2 Q1 Q0Q2*Q1*Q0*C S0 S1 S2 S3 S4 S5 S6 S7 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 0 0 0 0 0 0 0 1 Q0* = Q0 Q1* = Q1Q0 + Q1Q0 0 1 Q1Q0 Q2 Q2* 00 01 11 10 0 0 1 0 1 1 0 1 Q2* = Q2Q1Q0 + Q2Q1 + Q2Q0

43、 輸出方程:輸出方程:C = Q2 Q1Q0 設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)3位二進(jìn)制模位二進(jìn)制模8計(jì)數(shù)器計(jì)數(shù)器 4、觸發(fā)器選型,得到激勵(lì)方程、觸發(fā)器選型,得到激勵(lì)方程 Q0* = Q0 Q1* = Q1Q0 + Q1Q0 Q2* = Q2Q1Q0 + Q2Q1 + Q2Q0 Q1* = Q1Q0 + Q1Q0 = Q2Q1Q0 + Q2 (Q1+Q0) = Q2Q1Q0 + Q2 (Q1Q0) D1 = Q1Q0 + Q1Q0 D2 = Q2Q1Q0 + Q2Q1 + Q2Q0 D0 = Q0 選擇選擇D觸發(fā)器觸發(fā)器 選擇選擇JK觸發(fā)器觸發(fā)器 J0 = 1 K0= 1 Q* = JQ + KQ J1 =

44、Q0 K1= Q0 J2 = Q1Q0 K2= Q1Q0 = 1Q0 + 1Q0 設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)3位二進(jìn)制模位二進(jìn)制模8計(jì)數(shù)器計(jì)數(shù)器 5、畫(huà)邏輯電路圖、畫(huà)邏輯電路圖 C = Q2 Q1Q0 J0 = 1 K0= 1 J1 = Q0 K1= Q0 J2 = Q1Q0 K2= Q1Q0 & J Q CLK K Q FF0 J Q CLK K Q FF1 J Q CLK K Q FF2 1 CP & C 設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)110串行數(shù)據(jù)檢測(cè)器串行數(shù)據(jù)檢測(cè)器 1、邏輯抽象,得到、邏輯抽象,得到狀態(tài)表狀態(tài)表 用用A表示輸入數(shù)據(jù);用表示輸入數(shù)據(jù);用Z表示檢測(cè)結(jié)果。表示檢測(cè)結(jié)果。 電路檢測(cè)到輸入連續(xù)出現(xiàn)電路

45、檢測(cè)到輸入連續(xù)出現(xiàn)110時(shí),輸出為時(shí),輸出為1 開(kāi)始,等待第一個(gè)開(kāi)始,等待第一個(gè)1 STASTA/0A1/0 A上捕獲一個(gè)上捕獲一個(gè)1 A1STA/0A11/0 A上連續(xù)捕獲上連續(xù)捕獲11 A11OK/1A11/0 A上連續(xù)捕獲上連續(xù)捕獲110 OKSTA/0A1/0 狀態(tài)狀態(tài)S A 0 1 S*/Z Mealy機(jī)機(jī) 設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)110串行數(shù)據(jù)檢測(cè)器串行數(shù)據(jù)檢測(cè)器 1、得到、得到狀態(tài)表狀態(tài)表 STASTA/0A1/0 A1STA/0A11/0 A11OK/1A11/0 OKSTA/0A1/0 狀態(tài)狀態(tài)S A 0 1 S*/Z 2、狀態(tài)化簡(jiǎn)、狀態(tài)化簡(jiǎn) (狀態(tài)最小化)(狀態(tài)最小化) 識(shí)別識(shí)別等

46、效狀態(tài)等效狀態(tài) ,如果兩個(gè)狀態(tài),如果兩個(gè)狀態(tài) 對(duì)于所有輸入組合產(chǎn)生相同的輸出對(duì)于所有輸入組合產(chǎn)生相同的輸出 對(duì)于每種輸入組合具有同或等效的下一狀態(tài)對(duì)于每種輸入組合具有同或等效的下一狀態(tài) STA/1 S*/Z STA/0STAA1/0 3、狀態(tài)編碼、狀態(tài)編碼 00 01 10 00 01 11 設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)110串行數(shù)據(jù)檢測(cè)器串行數(shù)據(jù)檢測(cè)器 4、得到狀態(tài)方程和輸出方程、得到狀態(tài)方程和輸出方程 00 01 10 0 Q1Q0 00011110 0 1 A 01 000 d d Z 00/0 Q1Q0 00011110 0 1 A 00/000/1 01/010/010/0 dd/d dd/d

47、STASTA/0A1/0 A1STA/0A11/0 A11STA/1A11/0 狀態(tài)狀態(tài)S A 0 1 S*/Z 設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)110串行數(shù)據(jù)檢測(cè)器串行數(shù)據(jù)檢測(cè)器 4、得到狀態(tài)方程和輸出方程、得到狀態(tài)方程和輸出方程 00/0 Q1Q0 00011110 0 1 A 00/000/1 01/010/010/0 dd/d dd/d 0 Q1Q0 00011110 0 1 A 01 000 d d Z Z = AQ1 0 Q1Q0 00011110 0 1 A 00 011 d d Q1* Q1* = AQ1 + AQ0 0 Q1Q0 00011110 0 1 A 00 100 d d Q0* Q

48、0* = AQ1Q0 設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)110串行數(shù)據(jù)檢測(cè)器串行數(shù)據(jù)檢測(cè)器 選擇選擇D觸發(fā)器觸發(fā)器 5、得到激勵(lì)方程、得到激勵(lì)方程 Q1* = AQ1 + AQ0 Q0* = AQ1Q0 D1 = AQ1 + AQ0 D0 = AQ1Q0 選擇選擇J-K觸發(fā)器觸發(fā)器 Q* = JQ + KQ = (AQ1)Q0 + 1Q0 = AQ1 + AQ0 (Q1+Q1) = AQ1 + AQ0 Q1 + AQ0Q1 = AQ1 + AQ0Q1 J1 = AQ0 K1 = A J0 = AQ1 K0 = 1 設(shè)計(jì)一個(gè)設(shè)計(jì)一個(gè)110串行數(shù)據(jù)檢測(cè)器串行數(shù)據(jù)檢測(cè)器 6、檢查電路的自啟動(dòng)性、檢查電路的自啟動(dòng)性 當(dāng)

49、電路進(jìn)入無(wú)效狀態(tài)當(dāng)電路進(jìn)入無(wú)效狀態(tài)11后,后, A=0時(shí),時(shí), 該電路是自啟動(dòng)的該電路是自啟動(dòng)的 Z = AQ1 Q1* = AQ1 + AQ0 Q0* = AQ1Q0 7、畫(huà)邏輯電路圖(略)、畫(huà)邏輯電路圖(略) 下一狀態(tài)為下一狀態(tài)為 00 A=1時(shí),時(shí), 下一狀態(tài)為下一狀態(tài)為 10 1/0 11 0/1 00 0/0 01 1/0 0/0 10 1/0 1/0 0/1 狀態(tài)表狀態(tài)表 課堂練習(xí)課堂練習(xí)1:六進(jìn)制同步加法計(jì)數(shù)器:六進(jìn)制同步加法計(jì)數(shù)器 解:(解:(1)建立原始狀態(tài)圖)建立原始狀態(tài)圖 設(shè)計(jì)設(shè)計(jì)一個(gè)按自然態(tài)序變化的六進(jìn)制同步加法計(jì)數(shù)器,計(jì)數(shù)規(guī)則一個(gè)按自然態(tài)序變化的六進(jìn)制同步加法計(jì)數(shù)器,

50、計(jì)數(shù)規(guī)則 為逢六進(jìn)一,產(chǎn)生一個(gè)進(jìn)位為逢六進(jìn)一,產(chǎn)生一個(gè)進(jìn)位輸出,使用輸出,使用JK觸發(fā)器實(shí)現(xiàn)。觸發(fā)器實(shí)現(xiàn)。 (2)狀態(tài)化簡(jiǎn))狀態(tài)化簡(jiǎn) (已經(jīng)最簡(jiǎn))(已經(jīng)最簡(jiǎn)) (3)狀態(tài)分配)狀態(tài)分配 (已是二進(jìn)制狀態(tài))(已是二進(jìn)制狀態(tài)) /Y nnn QQQ 012 000001010 /1 100011 /0/0 /0 101 /0/0 排列順序:排列順序: 課堂練習(xí)課堂練習(xí)1:六進(jìn)制同步加法計(jì)數(shù)器:六進(jìn)制同步加法計(jì)數(shù)器 (4)選觸發(fā)器,求時(shí)鐘、輸出、狀態(tài)、驅(qū)動(dòng)方程)選觸發(fā)器,求時(shí)鐘、輸出、狀態(tài)、驅(qū)動(dòng)方程 因需用因需用3位二進(jìn)制代碼,選用位二進(jìn)制代碼,選用3個(gè)個(gè)CP下降沿觸發(fā)的下降沿觸發(fā)的JK觸發(fā)器,分觸

51、發(fā)器,分 別用別用FF0、FF1、FF2表示。表示。 由于要求采用同步方案,故由于要求采用同步方案,故時(shí)鐘方程時(shí)鐘方程為:為: CPCPCPCP 210 輸出方程:輸出方程: nnQ QY 02 Y的卡諾圖 00011110 00000 101 nnQ Q 01 n Q2 狀態(tài)方程狀態(tài)方程 由狀態(tài)圖可直接畫(huà)出如下圖所示電路次態(tài)由狀態(tài)圖可直接畫(huà)出如下圖所示電路次態(tài)Q2n+1Q1n+1Q0n+1的的 卡諾圖。再分解開(kāi),便可以得到各觸發(fā)器的卡諾圖??ㄖZ圖。再分解開(kāi),便可以得到各觸發(fā)器的卡諾圖。 011 100 000 101 010001 00011110 Q1nQ0n Q2n 0 1 Q2n+1Q

52、1n+1Q0n+1的卡諾圖的卡諾圖 0 1 0 1 00 000111 10 Q1nQ0n Q2n 0 1 1 0 0 0 10 000111 10 Q1nQ0n Q2n 0 1 1 0 0 1 01 000111 10 Q1nQ0n Q2n 0 1 Q2n+1的卡諾圖的卡諾圖Q1n+1的卡諾圖的卡諾圖Q0n+1的卡諾圖的卡諾圖 0 1 0 1 00 00 01 11 10 Q1nQ0n Q2n 0 1 Q2n+1的卡諾圖 1 0 0 0 10 00 01 11 10 Q1nQ0n Q2n 0 1 Q1n+1的卡諾圖 1 0 0 1 01 00 01 11 10 Q1nQ0n Q2n 0 1

53、Q0n+1的卡諾圖 n nn nn n QQQQQQ 01012 1 1 n nnnn QQQQQ 0201 1 2 n n QQ 0 1 0 變換狀態(tài)方程變換狀態(tài)方程 n nn nnnnnn nn nn n nn n nn n nnnn QQQQQQQQQQQQQ QQQQQQQQQQQ 2020101220201 0222010201 1 2 )( n nn n nn nn nn n QQQQQQQQQQQ 1010201012 1 1 n n n QQQ 00 1 0 11 約束項(xiàng)約束項(xiàng) 應(yīng)去掉應(yīng)去掉 n nn nn n nn n nn n nnnn QQQQQ QQQQQQQQQQQ

54、20201 0222010201 1 2 )( n nn n nn nn nn n QQQQQQQQQQQ 1010201012 1 1 n n n QQQ 00 1 0 11 JK觸發(fā)器的特性方程:觸發(fā)器的特性方程: n n n QKQJQ 1 比較特性方程求驅(qū)動(dòng)方程:比較特性方程求驅(qū)動(dòng)方程: nnn n nn nnn n n QKQQJ QQQQQQKQJQ 02012 202012 2 22 1 2 n n n n nn n n n n n QKQQJ QQQQQQKQJQ 01201 101021 1 11 1 1 1 11 00 000 0 00 1 0 KJ QQQKQJQ n n

55、 n n n (5)畫(huà)邏輯電路圖)畫(huà)邏輯電路圖 根據(jù)所選用的觸發(fā)器和時(shí)鐘方程、輸出方程、驅(qū)動(dòng)方程,便根據(jù)所選用的觸發(fā)器和時(shí)鐘方程、輸出方程、驅(qū)動(dòng)方程,便 可以畫(huà)出如下圖所示的邏輯電路圖可以畫(huà)出如下圖所示的邏輯電路圖 CP C1 & 1J 1K C1 & 1J 1K C1 1J 1K & Y Q2 Q1 Q0 Q0 Q1 Q2 FF2FF1FF0 1 1 00 01021 02012 KJ QKQQJ QKQQJ nn n nnn CPCPCPCP 210 nnQ QY 02 驅(qū)動(dòng)方程驅(qū)動(dòng)方程: 時(shí)鐘方程時(shí)鐘方程: 輸出方程輸出方程: (6)檢查電路能否自啟動(dòng))檢查電路能否自啟動(dòng) 將無(wú)效狀態(tài)將無(wú)

56、效狀態(tài)110、111代入變換后的狀態(tài)方程計(jì)算:代入變換后的狀態(tài)方程計(jì)算: 可見(jiàn),所設(shè)計(jì)的電路能夠自啟動(dòng)。可見(jiàn),所設(shè)計(jì)的電路能夠自啟動(dòng)。 110111000 /0/1 (有效狀態(tài)) 課堂練習(xí)課堂練習(xí)2:串行:串行數(shù)據(jù)檢測(cè)電路數(shù)據(jù)檢測(cè)電路 設(shè)計(jì)一個(gè)串行數(shù)據(jù)檢測(cè)電路,當(dāng)連續(xù)輸入設(shè)計(jì)一個(gè)串行數(shù)據(jù)檢測(cè)電路,當(dāng)連續(xù)輸入3個(gè)或個(gè)或3個(gè)以個(gè)以 上上1時(shí),電路的輸出為時(shí),電路的輸出為1,其它情況下輸出為,其它情況下輸出為0。 (JK觸發(fā)器、最小成本法實(shí)現(xiàn))觸發(fā)器、最小成本法實(shí)現(xiàn)) 例如:輸入例如:輸入X101100111011110 輸出輸出Y 000000001000110 解:(解:(1)建立原始狀態(tài)圖)建

57、立原始狀態(tài)圖 S0S1 S2S3 設(shè)電路開(kāi)始處于初始狀態(tài)為設(shè)電路開(kāi)始處于初始狀態(tài)為S0 輸入第一個(gè)輸入第一個(gè)1輸出為輸出為0,狀態(tài)轉(zhuǎn)換到,狀態(tài)轉(zhuǎn)換到S1; 1/0 X/Y 若連續(xù)再輸入一個(gè)若連續(xù)再輸入一個(gè)1輸出為輸出為0 ,狀態(tài)轉(zhuǎn),狀態(tài)轉(zhuǎn) 換到換到S2; 1/0 若連續(xù)輸入第三個(gè)若連續(xù)輸入第三個(gè)1輸出為輸出為1 ,狀態(tài)轉(zhuǎn),狀態(tài)轉(zhuǎn) 換到換到S3; 1/1 此后若連續(xù)不斷地輸入此后若連續(xù)不斷地輸入1,輸出應(yīng)該,輸出應(yīng)該 總是總是1,電路也應(yīng)保持,電路也應(yīng)保持S3狀態(tài)不變。狀態(tài)不變。 1/1 電路無(wú)論處在什么狀態(tài),只要電路無(wú)論處在什么狀態(tài),只要 輸入輸入0,都應(yīng)回到初始狀態(tài),都應(yīng)回到初始狀態(tài)S0,

58、并輸出并輸出0,以便重新進(jìn)行檢測(cè)。,以便重新進(jìn)行檢測(cè)。 0/0 0/0 0/0 0/0 0/0 1/0 1/0 1/0 1/0 0/0 (c) 二進(jìn)制狀態(tài)圖 10 0/0 1/1 00 01 0/0 1/0 1/0 1/0 1/0 0/0 (b) 簡(jiǎn)化狀態(tài)圖 S2 0/0 1/1 S0 S1 原始狀態(tài)圖中,凡是在輸入相同時(shí),輸出相同、要轉(zhuǎn)換到原始狀態(tài)圖中,凡是在輸入相同時(shí),輸出相同、要轉(zhuǎn)換到 的次態(tài)也相同的狀態(tài),稱(chēng)為等價(jià)狀態(tài)。的次態(tài)也相同的狀態(tài),稱(chēng)為等價(jià)狀態(tài)。 狀態(tài)化簡(jiǎn)就是將多個(gè)等價(jià)狀態(tài)合并成一個(gè)狀態(tài),把多余的狀態(tài)化簡(jiǎn)就是將多個(gè)等價(jià)狀態(tài)合并成一個(gè)狀態(tài),把多余的 狀態(tài)都去掉,從而得到最簡(jiǎn)的狀態(tài)圖

59、。狀態(tài)都去掉,從而得到最簡(jiǎn)的狀態(tài)圖。 (2)狀態(tài)化簡(jiǎn))狀態(tài)化簡(jiǎn)(3)狀態(tài)分配)狀態(tài)分配 1/0 0/0 1/1 0/0 0/0 1/0 1/1 (a) 原始狀態(tài)圖 S3 S2 0/0 S0 S1 S0=00 S1=01 S2=10 S2 S3 等價(jià)等價(jià) (4)選觸發(fā)器,求時(shí)鐘、輸出、狀態(tài)、驅(qū)動(dòng)方程)選觸發(fā)器,求時(shí)鐘、輸出、狀態(tài)、驅(qū)動(dòng)方程 選用選用2個(gè)個(gè)CP下降沿觸發(fā)的下降沿觸發(fā)的JK觸發(fā)器,分別用觸發(fā)器,分別用FF0、FF1表示。表示。 采用同步方案。采用同步方案。 由二進(jìn)制狀態(tài)圖可以畫(huà)出輸出信號(hào)由二進(jìn)制狀態(tài)圖可以畫(huà)出輸出信號(hào)Y的卡諾圖和觸發(fā)器次態(tài)的卡諾圖和觸發(fā)器次態(tài) Qn+1的卡諾圖。的卡諾

60、圖。 輸輸 出出 方方 程程 n XQY 1 Y的卡諾圖 X00011110 0000 1001 nnQ Q 01 0/0 1/0 1/0 1/0 1/0 0/0 (c) 二進(jìn)制狀態(tài)圖 10 0/0 1/1 00 01 Qn+1的卡諾圖 X00011110 0 0000 00 1 011010 nnQ Q 01 狀狀 態(tài)態(tài) 方方 程程 (a) 1 0 n Q的卡諾圖 X00011110 0000 1100 nnQ Q 01 nnn QQXQ 01 1 0 nnnn XQQXQQ 110 1 1 (b) 1 1 n Q的卡諾圖 X00011110 0000 1011 nnQ Q 01 Qn+1的

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論