單片機(jī)的數(shù)字溫度計(jì)設(shè)計(jì)方案_第1頁
單片機(jī)的數(shù)字溫度計(jì)設(shè)計(jì)方案_第2頁
單片機(jī)的數(shù)字溫度計(jì)設(shè)計(jì)方案_第3頁
單片機(jī)的數(shù)字溫度計(jì)設(shè)計(jì)方案_第4頁
單片機(jī)的數(shù)字溫度計(jì)設(shè)計(jì)方案_第5頁
已閱讀5頁,還剩14頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、引言隨著現(xiàn)代信息技術(shù)的飛速發(fā)展和傳統(tǒng)工業(yè)改造的逐步實(shí)現(xiàn)能夠獨(dú)立工作的溫度檢測和顯示系統(tǒng)應(yīng)用于諸多領(lǐng)域。傳統(tǒng)的溫度檢測以熱敏電阻為溫度敏感元件。熱敏電阻的成本低,但需后續(xù)信號(hào)處理電路,而且可靠性相對(duì)較差,測溫準(zhǔn)確度低,檢測系統(tǒng)也有一定的誤差。與傳統(tǒng)的溫度計(jì)相比,這里設(shè)計(jì)的數(shù)字溫度計(jì)具有讀數(shù)方便,測溫范圍廣,測溫精確,數(shù)字顯示,適用范圍寬等特點(diǎn)。選用AT89C51型單片機(jī)作為主控制器件,DSI8B20作為測溫傳感器通過 4位共陽極LED數(shù)碼管串口傳送數(shù)據(jù),實(shí)現(xiàn)溫度顯示。通過DSI8B20直接讀取被測溫度值,進(jìn)行數(shù)據(jù)轉(zhuǎn)換,該器件的物理化學(xué)性能穩(wěn)定,線性度較好,在0C100C最大線性偏差小于 0.1

2、C。該器件可直接向單片機(jī)傳輸數(shù)字信號(hào),便于單片機(jī)處理及控制。另外,該溫度計(jì)還能直接采用測溫器件測量溫度,從而簡化數(shù)據(jù)傳輸與處理過程。2系統(tǒng)硬件設(shè)計(jì)方案根據(jù)系統(tǒng)功能要求,構(gòu)造圖1所示的系統(tǒng)原理結(jié)構(gòu)框圖??撮T狗報(bào)警電路AT89C51DS18B20溫度數(shù)據(jù)采集圖1系統(tǒng)原理結(jié)構(gòu)框圖2.1單片機(jī)的選擇AT89C51作為溫度測試系統(tǒng)設(shè)計(jì)的核心器件。該器件是 INTEL公司生產(chǎn)的MCS 5I系列單片機(jī)中的基礎(chǔ)產(chǎn)品,采用了可靠的 CMOS工藝制造技術(shù),具有高性能的8位單片機(jī),屬于標(biāo)準(zhǔn)的MCS 51的234CMOS產(chǎn)品。不僅結(jié)合了 HMOS的高速和高密度技術(shù)及 CHMOS的低功耗特征,而且繼承和擴(kuò)展了MCS 4

3、8單片機(jī)的體系結(jié)構(gòu)和指令系統(tǒng)。單片機(jī)小系統(tǒng)的電路圖如圖2所示。U1O T- (N CO 寸 9 V X X X X X X T d d d d d d QVCCP00/AD0 P0.1/AD1 P0.2/AD2 P0.3/AD3 P0.4/AD4 P0 5/AD540239338437536635734圖2 單片機(jī)小系統(tǒng)電路AT89C51單片機(jī)的主要特性:(1與MCS-51兼容,4K字節(jié)可編程閃爍存儲(chǔ)器;(2靈活的在線系統(tǒng)編程,掉電標(biāo)識(shí)和快速編程特性;(3壽命為 1 000次寫/擦周期,數(shù)據(jù)保留時(shí)間可 10年以上;(4 全靜態(tài)工作模式: 0Hz-33Hz ;(5三級(jí)程序存儲(chǔ)器鎖定;(6128*

4、8 位內(nèi)部 RAM , 32 可編程 I/O 線;(7兩個(gè) 16位定時(shí)器/計(jì)數(shù)器, 6個(gè)中斷源;(8全雙工串行 UART 通道,低功耗的閑置和掉電模式;(9看門狗WDT )及雙數(shù)據(jù)指針;(9片內(nèi)振蕩器和時(shí)鐘電路;2.2 溫度傳感器介紹DS18B20可以程序設(shè)定912位的分辨率,精度為).5 C??蛇x更小的封裝方式,更寬的電壓適用范 圍。分辨率設(shè)定,及用戶設(shè)定的報(bào)警溫度存儲(chǔ)在 EPROM 中,掉電后依然保存。溫度傳感器 DS 1 8B2 )引腳如圖 3所示。87655fNC NC NC GNBDS18B20NC NCVDD BQjt12348引腳封裝 TO 92封裝圖3溫度傳感器引腳功能說明:N

5、C :空引腳,懸空不使用;VDD :可選電源腳,電源電壓范圍 35.5V。當(dāng)工作于寄生電源時(shí),此引腳必須接地。DQ :數(shù)據(jù)輸入/輸出腳。漏極開路,常態(tài)下高電平。GND :為電源地DS18B20內(nèi)部結(jié)構(gòu)主要由四部分組成:64位光刻ROM、溫度傳感器、非揮發(fā)的溫度報(bào)警觸發(fā)器 TH 和TL、配置寄存器。光刻ROM中的64位序列號(hào)是出廠前被光刻好的,它可以看作是該 DS18B20的地址序列碼。64位光 刻ROM的排列是:開始8位28H)是產(chǎn)品類型標(biāo)號(hào),接著的48位是該DS18B20自身的序列號(hào),最后8 位是前面56位的循環(huán)冗余校驗(yàn)碼CRC=X8+X5+X4+1 )。光刻ROM的作用是使每一個(gè)DS18B

6、20都各不 相同,這樣就可以實(shí)現(xiàn)一根總線上掛接多個(gè) DS18B20的目的。DS18B20中的溫度傳感器可完成對(duì)溫度的測量,以 12位轉(zhuǎn)化為例:用16位符號(hào)擴(kuò)展的二進(jìn)制補(bǔ)碼讀 數(shù)形式提供,以0.0625C /LSB形式表達(dá),其中S為符號(hào)位。這是12位轉(zhuǎn)化后得到的12位數(shù)據(jù),存儲(chǔ)在18B20的兩個(gè)8比特的RAM中,二進(jìn)制中的前面5位是 符號(hào)位,如果測得的溫度大于 0,這5位為0,只要將測到的數(shù)值乘于0.0625即可得到實(shí)際溫度;如果溫 度小于0,這5位為1,測到的數(shù)值需要取反加1再乘于0.0625即可得到實(shí)際溫度。例如+125C的數(shù)字輸 出為07D0H,+25.0625C的數(shù)字輸出為0191H,-

7、25.0625C的數(shù)字輸出為FF6FH,-55C的數(shù)字輸出為 FC90H。DS18B20溫度傳感器的內(nèi)部存儲(chǔ)器包括一個(gè)高速暫存RAM和一個(gè)非易失性的可電擦除的 E2RAM,后者存放高溫度和低溫度觸發(fā)器 TH、TL和結(jié)構(gòu)寄存器。暫存存儲(chǔ)器包含了 8個(gè)連續(xù)字節(jié),前兩個(gè)字節(jié)是測得的溫度信息,第一個(gè)字節(jié)的內(nèi)容是溫度的低八位,第二個(gè)字節(jié)是溫度的高八位。第三個(gè)和第四個(gè)字節(jié)是TH、TL的易失性拷貝,第五個(gè)字節(jié)是結(jié)構(gòu)寄存器的易失性拷貝,這三個(gè)字節(jié)的內(nèi)容在每一次上電復(fù)位時(shí)被刷新。第六、七、八個(gè)字節(jié)用于內(nèi)部計(jì)算。第 九個(gè)字節(jié)是冗余檢驗(yàn)字節(jié)。該字節(jié)各位的意義如下:TM R1 R0 1 1 1 1 1低五位一直都是1

8、,TM是測試模式位,用于設(shè)置DS18B20在工作模式還是在測試模式。在DS18B20出廠時(shí)該位被設(shè)置為0,用戶不要去改動(dòng)。R1和R0用來設(shè)置分辨率,如表1所示:DS18B20 出廠時(shí)被設(shè)置為12位)表1 DS18B20溫度轉(zhuǎn)換時(shí)間表R1R0分辨率/位溫度最大轉(zhuǎn)向時(shí)間00993.750110187.510113751112750根據(jù)DS18B20的通訊協(xié)議,主機(jī)控制 DS18B20完成溫度轉(zhuǎn)換必須經(jīng)過三個(gè)步驟:每一次讀寫之前都要對(duì)DS18B20進(jìn)行復(fù)位,復(fù)位成功后發(fā)送一條ROM指令,最后發(fā)送 RAM指令,這樣才能對(duì) DS18B20進(jìn)行預(yù)定的操作。復(fù)位要求主CPU將數(shù)據(jù)線下拉500微秒,然后釋放,

9、DS18B20收到信號(hào)后等待1660微秒左右,后發(fā)出 60240微秒的存在低脈 沖,主CPU收到此信號(hào)表示復(fù)位成功。2.3溫度傳感器與單片機(jī)的連接溫度傳感器的單總線(1-Wire與單片機(jī)的P2. 0連接,P2. 0是單片機(jī)的高位地址線 A8。P2端口是 一個(gè)帶內(nèi)部上拉電阻的8位雙向I/O,其輸出緩沖級(jí)可驅(qū)動(dòng)(吸收或輸出電流4個(gè)TTL邏輯門電路。對(duì) 該端口寫“ 1,可通過內(nèi)部上拉電阻將其端口拉至高電平,此時(shí)可作為輸入口使用,這是因?yàn)閮?nèi)部存在上 拉電阻,某一引腳被外部信號(hào)拉低時(shí)會(huì)輸出一個(gè)電流。在訪問外部程序存儲(chǔ)器或16位地址的外部數(shù)據(jù)存儲(chǔ)器時(shí)。如執(zhí)行MOVX DPTR指令,則表示P2端口送出高8位

10、的地址數(shù)據(jù)。在訪問8位地址的外部數(shù)據(jù) 存儲(chǔ)器時(shí),可執(zhí)行MOVX RI指令,P2端口內(nèi)容即為特殊功能寄存器(SFR區(qū)中R2寄存器內(nèi)容,整個(gè)訪 問期間不改變。在Flash編程和程序校驗(yàn)時(shí),P2端口也接收高位地址和其他控制信號(hào)。圖 4為DSI8820內(nèi) 部結(jié)構(gòu)。圖5為DSl8820與單片機(jī)的接口電路。圖4 DS18B20內(nèi)部結(jié)構(gòu)圖圖5 DS18B20和單片機(jī)的接口連接2.4復(fù)位信號(hào)及外部復(fù)位電路單片機(jī)的P1.6端口是MAX813看門狗電路中喂狗信號(hào)的輸入端,即單片機(jī)每執(zhí)行一次程序就設(shè)置一次喂狗信號(hào),清 零看門狗器件。若程序出現(xiàn)異常,單片機(jī)引腳RST將出現(xiàn)兩個(gè)機(jī)器周期以上的高電平,使其復(fù)位。該復(fù)位信號(hào)

11、高電平有效,其有效時(shí)間應(yīng)持續(xù) 24個(gè)振蕩脈沖周期即兩個(gè)機(jī)器周期以上。若使用頻率為12 MHz的晶體振蕩器,則復(fù)位信號(hào)持續(xù)時(shí)間應(yīng)超過2ys才完成復(fù)位操作。2.5單片機(jī)與報(bào)警電路系統(tǒng)中的報(bào)警電路是由發(fā)光二極管和限流電阻組成,并與單片機(jī)的P1.2端口連接。P1端口的作用和接法與 P2端口相同,不同的是在 Flash編程和程序校驗(yàn)期間,P1接收低8位地址數(shù)據(jù)。2.6電源電路由于該系統(tǒng)需要穩(wěn)定的5V電源,因此設(shè)計(jì)時(shí)必須采用能滿足電壓、電流和穩(wěn)定性要求的電源。該電 源采用三端集成穩(wěn)壓器LM7805它僅有輸入端、輸出端及公共端 3個(gè)引腳,其內(nèi)部設(shè)有過流保護(hù)、過熱 保護(hù)及調(diào)整管安全保護(hù)電路由于所需外接元件少,

12、使用方便、可靠,因此可作為穩(wěn)壓電源。圖6為電源電路連接圖。+12VO470p F上LM70O5V t n VoulGND _ 470# FGND+ ZZO沙侔1)3-OVciIkQ圖6電源電路連接圖2.7顯示電路采用技術(shù)成熟的74HCI64實(shí)現(xiàn)串并轉(zhuǎn)換。LED顯示分為靜態(tài)顯示和動(dòng)態(tài)顯示。這里采用靜態(tài)顯示, 系統(tǒng)通過單片機(jī)的串行口來實(shí)現(xiàn)靜態(tài)顯示。串行口為方式零狀態(tài),即工作在移位寄存器方式,波特率為振 蕩頻率的1/12。當(dāng)器件執(zhí)行任何一條將SBUF作為目的寄存器的命令時(shí),數(shù)據(jù)便開始從 RXD端發(fā)送。在 寫信號(hào)有效時(shí),相隔一個(gè)機(jī)器周期后發(fā)送控制端SEND有效,即允許RXD發(fā)送數(shù)據(jù),同時(shí)允許從TXD端

13、輸出移位脈沖。圖7為顯示電路的連接圖。U1 74HC164LED169麗8CL卅B63U3 74HC164LED39MR8LI 2 74HC164872765131211 To圖7顯示電路的連接圖GNDhLED4ONE)ch/1 3GNDPJI& r312dp21cc1e410gd56bfu廣S5GNDb9T&103QMRLKB A c7 6 5 4 3 2 1 o Q Q Q Q Q Q Q QU4 74HC164/?plhGNDcPedpeg dbGNDfcabaLEi)24 r r r ToQ7Q6Q5Q4Q3Q2QIQOf e d c b a24左FFToL2iTTo6 3 3Q?Q6

14、Q5Q4Q3Q2QIQOCLKb71331221141056S594103Q7 MRQbQ5匚居Q4Q3Q2 B QIAQO2.8看門狗電路系統(tǒng)中把P1.6作為看門狗的 喂狗”信號(hào);將MAX813的RESET與單片機(jī)的復(fù)位信號(hào)RST連接。由 于單片機(jī)每執(zhí)行一次程序,就會(huì)給看門狗器件一個(gè)復(fù)位信號(hào),這樣也可以用手工方式實(shí)現(xiàn)復(fù)位。當(dāng)按鍵按 下時(shí),SW SPST就會(huì)在MAX813引腳產(chǎn)生一個(gè)超過200 ms的低電平,其實(shí)看門狗器件在1.6 s時(shí)間內(nèi)沒 有復(fù)位,使7引腳輸出一個(gè)復(fù)位信號(hào)的作用是相同的,其連接圖如圖8所示。U6MRVccRESETGNDWDTPFIPFOR5 t/cc _ 210k2 二M

15、AX813LJ 10kQ圖8看門狗器件的MAX813的連接圖3軟件設(shè)計(jì)DSI8820的主要數(shù)據(jù)元件有:64位激光Lasered ROM,溫度靈敏元件和非易失性溫度告警觸發(fā)器TH和TL。DSBI820可以從單總線獲取電源,當(dāng)信號(hào)線為高電平時(shí),將能量貯存在內(nèi)部電容器中;當(dāng)單信號(hào) 線為低電平時(shí),將該電源斷開,直到信號(hào)線變?yōu)楦唠娖街匦陆由霞纳娙?電源為止。此外,還可外接5V電源,給DSl8820供電。DSl8820的供電方式靈活,利用外接電源還可增加系統(tǒng)的穩(wěn)定性和可靠性。圖 9為讀取數(shù)據(jù)流程圖。開始DS18B20的初始化*RET圖9讀取數(shù)據(jù)的流程圖讀出溫度數(shù)據(jù)后,LOW的低四位為溫度的小數(shù)部分,可

16、以精確到0.0625C, LOW的高四位和HIGH的低四位為溫度的整數(shù)部分,HIGH的高四位全部為1表示負(fù)數(shù),全為0表示正數(shù)。所以先將數(shù)據(jù)提取出 來,分為三個(gè)部分:小數(shù)部分、整數(shù)部分和符號(hào)部分。小數(shù)部分進(jìn)行四舍五入處理:大于0.5C的話,向個(gè)位進(jìn)1;小于0.5C的時(shí)候,舍去不要。當(dāng)數(shù)據(jù)是個(gè)負(fù)數(shù)的時(shí)候,顯示之前要進(jìn)行數(shù)據(jù)轉(zhuǎn)換,將其整數(shù) 部分取反加一。還因?yàn)?DS18B20最低溫度只能為-55E,所以可以將整數(shù)部分的最高位換成一個(gè)-”,表示為負(fù)數(shù)。圖10為溫度數(shù)據(jù)處理程序的流程圖。圖10溫度數(shù)據(jù)處理流程圖4數(shù)據(jù)測試將溫度傳感器與冰水混合物接觸,經(jīng)過充分?jǐn)嚢柽_(dá)到熱平衡后調(diào)節(jié)系統(tǒng),使顯示讀數(shù)為0.00

17、(標(biāo)定0c ;利用氣壓計(jì)讀出當(dāng)時(shí)當(dāng)?shù)氐拇髿鈮簭?qiáng),并根據(jù)大氣壓強(qiáng)和當(dāng)?shù)刂亓铀俣扔?jì)算出當(dāng)時(shí)的實(shí)際壓強(qiáng);根據(jù)沸點(diǎn)與壓強(qiáng)的關(guān)系查出沸點(diǎn) 溫度。把溫度傳感器放入沸水中,待顯示讀數(shù)穩(wěn)定后重新調(diào)節(jié),使顯示器顯示讀數(shù)等于當(dāng)?shù)禺?dāng)時(shí)沸點(diǎn)溫度后工作結(jié)束。該溫度計(jì)的量程為-50 C150 C,讀數(shù)精度為 0.1 C,實(shí)際使用一般在 0C100C。采用0C50 C和50C100C的精 密水銀溫度計(jì)作檢驗(yàn)標(biāo)準(zhǔn),對(duì)設(shè)計(jì)的溫度計(jì)進(jìn)行測試,其結(jié)果表明能達(dá)到該精度要求。5總結(jié)與體會(huì)作為一名電子信息工程的大四學(xué)生,我覺得做單片機(jī)課程設(shè)計(jì)是很有意義的,而且也是必要的。在做 這次課程設(shè)計(jì)的過程中,我感觸最深的當(dāng)屬查閱大量的設(shè)計(jì)資料了

18、。為了讓自己的設(shè)計(jì)更加完善,查閱這 方面的實(shí)際資料是十分必要的,也是必不可少的。其次,在這次課程設(shè)計(jì)中,我們運(yùn)用了以前學(xué)過的專業(yè)課知識(shí),如:proteus仿真、匯編語言、模擬和數(shù)字電路知識(shí)等。雖然過去我從未獨(dú)立應(yīng)用過他們,但在學(xué)習(xí)的過程中帶著問題去學(xué)我發(fā)現(xiàn)效率很高, 這是我做這次課程設(shè)計(jì)的又一收獲。最后,要做好一個(gè)課程設(shè)計(jì),就必須做到:在設(shè)計(jì)程序之前,對(duì)所用單片機(jī)的內(nèi)部結(jié)構(gòu)有一個(gè)系統(tǒng)的 了解,知道該單片機(jī)有哪些資源;要有一個(gè)清晰的思路和一個(gè)完整的軟件流程圖;在設(shè)計(jì)程序時(shí),不能妄 想一次將整個(gè)程序設(shè)計(jì)好,反復(fù)修改、不斷改進(jìn)是程序設(shè)計(jì)的必經(jīng)之路;要養(yǎng)成注釋程序的好習(xí)慣,這樣 為資料的保留和交流提供

19、了方便;在設(shè)計(jì)中遇到的問題要記錄,以免下次遇到同樣的問題。在這次的課程設(shè)計(jì)中,我真正的意識(shí)到,在以后的學(xué)習(xí)中,要理論聯(lián)系實(shí)際,把我們所學(xué)的理論知識(shí) 用到實(shí)際當(dāng)中,學(xué)習(xí)單片機(jī)更是如此,程序只有在經(jīng)常寫與讀的過程中才能提高,這就是這次課程設(shè)計(jì)的 最大收獲。附錄 1 仿真圖09022ZNP甲yKH-rHIM222KI8R4Q2co40DDG ND9 Q DNG Qu7R5冷1 k 42p3 2Q Nin* 52p2Q Po=hq-ef gbd04 ZJ= E GAKC Aftr2bbonAsoloD1UCUVCCVCQR- BR51413121 1J.O3PCn-3PQIN BtxQR5 3p2OC

20、90&IA51P4VP3VP2VPx_b?JAId pC2OVP2340 p1 p2 p3 pIIII 65cqkcaop-urt-q-r6ZH705zh604zh503zh42ZH30Z106T2206TAE elaQyDTlHAh/ 06T olAh/ 06TOA-2P0OSAD2Pr-QA- opHup5UA op4uam/- op3UA op2UA opt-QO- op cQ opNEPTSR2LAmlx2U03922r3Cro 081nM2yo+附錄2程序源代碼DATA_BUSBITP3.3FLAGBIT00H。標(biāo)志位TEMP_LEQU30H。溫度值低字節(jié)TEMP_HEQU31H。溫

21、度值高字節(jié)TEMPDPEQU32H。溫度小數(shù)TEMP_INTEQU 33H。溫度值整數(shù)TEMP_BAIEQU 34H。溫度百位數(shù)TEMP_SHIEQU 35H。溫度十位數(shù)TEMP_GEEQU 36H。溫度個(gè)位數(shù)DIS_BAIEQU 37H。顯示百位數(shù)DIS_SHIEQU 38H。顯示十位數(shù)DIS_GEEQU 39H。顯示個(gè)位數(shù)DIS_DPEQU 3AH。顯示小數(shù)位DIS_ADDEQU 3BH。顯示地址ORG0000HAJMPSTARTORG0050H。初始化START:MOV SP,#40HMAIN:LCALLREAD_TEMP。調(diào)讀溫度程序LCALLPROCESS。調(diào)數(shù)據(jù)處理程序AJMP M

22、AIN。讀溫度程序READ_TEMP:LCALLRESET_PULSE 。調(diào)用復(fù)位脈沖程序MOV A,#0CCH。跳過 ROM 命令LCALLWRITEMOV A,#44H。讀溫度LCALLWRITELCALLDISPLAY。顯示溫度LCALLRESET_PULSE。調(diào)用復(fù)位脈沖程序MOV A,#0CCH。跳過 ROM 命令LCALLWRITEMOV A,#0BEH。讀緩存命令LCALLWRITELCALLREADRET。復(fù)位脈沖程序RESET_PULSE:RESET: SETB DATA_BUSNOPNOPCLR DATA_BUSMOV R7, #255DJNZ R7, $SETB DATA

23、_BUSMOV R7, #30DJNZ R7,$JNB DATA_BUS, SETB_FLAGCLR FLAGAJMP NEXTSETB_FLAG:SETB FLAGNEXT: MOV R7, #120DJNZ R7, $SETB DATA_BUSJNB FLAG, RESETRET。寫命令WRITE: SETB DATA_BUSMOV R6, #8CLR CWRITING:CLR DATA_BUSMOV R7, #5DJNZ R7, $RRC AMOVDATA_BUS, CMOVR7,#30HDJNZR7,$SETBDATA_BUSNOPDJNZR6,WRITINGRET循環(huán)顯示段位DISP

24、LAY:MOVR4,#200DIS_LOOP:MOVA,DIS_DPMOVP2,#0FFHMOVP0,ACLRP2.7LCALLDELAY2MSMOVA,DIS_GEMOVP2,#0FFHMOVP0,ASETBP0.7CLRP2.6LCALLDELAY2MSMOVA,DIS_SHIMOVP2,#0FFHMOVP0,ACLRP2.5LCALLDELAY2MSMOVA,DIS_BAIMOVP2,#0FFHMOVP0,AMOVA,TEMP_BAICJNE A,AJMP NEXT#0,SKIPrSKIP: CLRP2.4LCALLDELAY2MSNEXTT:NOPDJNZR4,DIS_LOOPRET。讀命令READ:SETBDATA_BUSMOVR0,#TEMP_LMOVR6,#8MOVR5,#2CLRCREADING:CLRDATABUSNOPNOPSETB DATA_BUSNOPNOPNOPNOPMOVC,DA

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論