基于89C51的直流電機(jī)控制講解_第1頁(yè)
基于89C51的直流電機(jī)控制講解_第2頁(yè)
基于89C51的直流電機(jī)控制講解_第3頁(yè)
基于89C51的直流電機(jī)控制講解_第4頁(yè)
基于89C51的直流電機(jī)控制講解_第5頁(yè)
已閱讀5頁(yè),還剩28頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、種初窖娩課程設(shè)計(jì)(論文)題目名 稱基于89C51的直流電機(jī)控制課程名 稱 單片機(jī)原理及應(yīng)在電氣測(cè)控學(xué)科中的應(yīng)用學(xué)生姓名學(xué)號(hào)系、專 業(yè)10電氣工程及其自動(dòng)化指導(dǎo)教師朱群峰2012年6月1日邵陽(yáng)學(xué)院課程設(shè)計(jì)(論文)任務(wù)書年級(jí)專業(yè)10電氣工程及其自動(dòng)化學(xué)生姓名學(xué) 號(hào)題目名稱基于89C51的直流電機(jī)控制設(shè)計(jì)時(shí)間2012 年 5 月 21 日一2012年6月1日課程名稱單片機(jī)原理及應(yīng)在電氣測(cè)控學(xué)科中的應(yīng)用課程編號(hào)121200105設(shè)計(jì)地點(diǎn)數(shù)字控制與PLC 實(shí)驗(yàn)室(305)一、課程設(shè)計(jì)(論文)目的課程設(shè)計(jì)是在校學(xué)生素質(zhì)教育的重要環(huán)節(jié),是理論與實(shí)踐相結(jié)合的橋梁和紐帶。單片機(jī)課程設(shè)計(jì),要求學(xué)生更多的完成軟硬結(jié)

2、合的動(dòng)手實(shí)踐方案,解決目前學(xué)生課程設(shè)計(jì)過程中普遍存在的缺乏動(dòng)手能力的現(xiàn)象單片機(jī)課程設(shè)計(jì)是繼電子技術(shù)、和單片機(jī)原理與應(yīng)用課程之后開出 的實(shí)踐環(huán)節(jié)課程,其目的和任務(wù)是訓(xùn)練學(xué)生綜合運(yùn)用已學(xué)課程“電子技術(shù)基礎(chǔ)”、“單片機(jī)原理及應(yīng)用”的基本知識(shí),獨(dú)立進(jìn)行單片機(jī)應(yīng)用技術(shù)和開發(fā)工作,掌握單片機(jī)程序設(shè)計(jì)、調(diào)試和應(yīng)用電路設(shè)計(jì)、分析及調(diào)試檢測(cè)。二、已知技術(shù)參數(shù)和條件1、 直流電機(jī)參數(shù):DC5V、12W2、KEIL 軟件;Wave 軟件、protuse 軟件3、THKSCM-1型單片機(jī)實(shí)驗(yàn)系統(tǒng)。三、任務(wù)和要求設(shè)計(jì)要求掌握直流電機(jī)的基本原理;掌握直流電機(jī)驅(qū)動(dòng)電路的設(shè)計(jì);掌握直流電機(jī)控制程序設(shè)計(jì)。設(shè)計(jì)一個(gè)直流電機(jī)控制系

3、統(tǒng),控制直流電機(jī)正反轉(zhuǎn),啟動(dòng)和停止,電機(jī)的速度可調(diào)。1、要求設(shè)計(jì)出電氣原理圖;2、要求設(shè)計(jì)出程序流程圖;3、要求設(shè)計(jì)出程序;4、要求做出實(shí)物或者仿真調(diào)試。注:1 此表由指導(dǎo)教師填寫,經(jīng)系、教研室審批,指導(dǎo)教師、學(xué)生簽字后生效;2 此表1式3份,學(xué)生、指導(dǎo)教師、教研室各1份四、參考資料和現(xiàn)有基礎(chǔ)條件(包括實(shí)驗(yàn)室、主要儀器設(shè)備等)1、 單片機(jī)課程設(shè)計(jì)指導(dǎo),北京航空航天大學(xué)出版社,樓然苗等2007年7月2、 單片機(jī)實(shí)驗(yàn)與實(shí)踐教程,北京航空航天大學(xué)出版社,何立民等2004年7月3、 THKSCM-1型單片機(jī)實(shí)驗(yàn)系統(tǒng)實(shí)驗(yàn)指導(dǎo)書、KEIL軟件,WAVE軟件4、數(shù)字控制與PLC實(shí)驗(yàn)室”THKSCM-1型單片

4、機(jī)實(shí)驗(yàn)系統(tǒng)”。五、進(jìn)度安排2012年5月21日-22日:收集和課程設(shè)計(jì)有關(guān)的資料,熟悉課題任務(wù)何要求總體方案設(shè)計(jì)2012年5月23日-25日:硬件電路設(shè)計(jì)2012年5月26日-28日:軟件設(shè)計(jì)2012年5月29日-30日:系統(tǒng)調(diào)試改進(jìn)2012年5月31日:整理書寫設(shè)計(jì)說明書2012年6月1日:答辯并現(xiàn)場(chǎng)考核六、教研室審批意見教研室主任(簽名):年 月 日七卜主管教學(xué)主任意見主管主任(簽名):年 月 日八、備注指導(dǎo)教師(簽字):學(xué)生(簽字):謝曹杙召廊日學(xué)院課程設(shè)計(jì)(論文)評(píng)閱表學(xué)生姓名學(xué) 號(hào)系電氣工程系專業(yè)班級(jí)10電力一班題目名稱 基于89C51的直流電機(jī)控制 課程名稱 單片機(jī)原理及應(yīng)在電氣測(cè)

5、控學(xué)科中的應(yīng)用 一、學(xué)生自我總結(jié)通過本次的電子課程設(shè)計(jì),使我加鞏固和加深對(duì)電子電路基本知識(shí)的理解,學(xué)會(huì)查尋資料、方 案設(shè)計(jì)、方案比較,以及單元電路設(shè)計(jì)計(jì)算等環(huán)節(jié),進(jìn)一步提高了自身綜合運(yùn)用所學(xué)知識(shí)的能力, 提高分析解決實(shí)際問題的能力。鍛煉分析、解決電子電路問題的實(shí)際本領(lǐng),為以后畢業(yè)設(shè)計(jì)打下一 定的基礎(chǔ)。更重要的是在朱群峰老師的指導(dǎo)下,得以完成本次的電子技術(shù)課程設(shè)計(jì)。學(xué)生簽名:謝曹杙年 月日、指導(dǎo)教師評(píng)定評(píng)分項(xiàng)目平時(shí)成績(jī)論文答辯綜合成績(jī)權(quán) 重304030單項(xiàng)成績(jī)指導(dǎo)教師評(píng)語(yǔ):指導(dǎo)教師(簽名):年月日注:1、本表是學(xué)生課程設(shè)計(jì)(論文)成績(jī)?cè)u(píng)定的依據(jù),裝訂在設(shè)計(jì)說明書(或論文)的“任務(wù)書”頁(yè)后面2、表

6、中的“評(píng)分項(xiàng)目”及“權(quán)重”根據(jù)各系的考核細(xì)則和評(píng)分標(biāo)準(zhǔn)確定。5摘要直流電機(jī)具有良好的線性調(diào)速特性和控制性能,使其調(diào)速控制占主流地位 盡管交 流變頻電機(jī) 步進(jìn)電機(jī)等在控制調(diào)速領(lǐng)域的應(yīng)用比較廣泛,但直流電機(jī)調(diào)速仍是大多數(shù) 調(diào)速控制電機(jī)的最佳選擇 89C51 單片機(jī)支持 C 語(yǔ)言編程,可移植性好,速度快,已被 廣泛應(yīng)用于機(jī)電一體化 工業(yè)控制 智能儀器儀表等領(lǐng)域 現(xiàn)應(yīng)用 89C51 單片機(jī)對(duì)直流 電機(jī)速度進(jìn)行有效測(cè)試和控制,通過對(duì)直流電機(jī)轉(zhuǎn)速脈沖和中斷次數(shù)的計(jì)數(shù),可實(shí)現(xiàn)根據(jù)輸入值控制直流電機(jī)的轉(zhuǎn)速根據(jù)設(shè)計(jì)需要,通過測(cè)量原件把檢測(cè)到的直流電機(jī)轉(zhuǎn)速讀入到 89C51單片機(jī)中,再通過編程使讀入的數(shù)值在顯示器

7、上 顯示出來 若檢測(cè)到的電機(jī)轉(zhuǎn)速等于設(shè)定值,則對(duì)直流電機(jī)的轉(zhuǎn)速進(jìn)行記錄;若檢測(cè)到 的電機(jī)轉(zhuǎn)速?zèng)]有達(dá)到設(shè)定值,則通過加大數(shù)值或模數(shù)轉(zhuǎn)換芯片使電機(jī)速度提升至設(shè)定 值;若檢測(cè)到電機(jī)轉(zhuǎn)速超過設(shè)定值則通過模數(shù)轉(zhuǎn)換芯片把電機(jī)速度降至設(shè)定值 通過這 種實(shí)時(shí)檢測(cè)和在線控制的方式使單片機(jī)能夠?qū)χ绷麟姍C(jī)轉(zhuǎn)速進(jìn)行有效控制, 使其按給定 的速度旋轉(zhuǎn)。關(guān)鍵字 :89c51 單片機(jī) ; 直流電動(dòng)機(jī) ; 轉(zhuǎn)速1目錄前言 11 緒論 21.1 直流電動(dòng)機(jī)控制的發(fā)展歷史 21.2 直流電動(dòng)機(jī)控制的研究現(xiàn)狀 41.3 本課題主要研究?jī)?nèi)容和意 義 32 直流電機(jī)硬件電路設(shè)計(jì)及描述程序 62.1 直流電機(jī)的結(jié)構(gòu) 62.2 直流電機(jī)的

8、工作原理 62.3 電磁關(guān)系 62.4 直流電機(jī)主要技術(shù)參數(shù) 62.5 直流電機(jī)的類型 72.6 直流電機(jī)的特點(diǎn) 72.7 元件介紹 73 基本原理 123.1 設(shè)計(jì)思路 123.2 電機(jī)正反轉(zhuǎn)控制原理 123.3 電機(jī)的啟動(dòng)與停止 133.4 電機(jī)的調(diào)速 134 程序流程圖 155 控制電路圖 165.1程序電路圖165.2程序運(yùn)行圖176 程序設(shè)計(jì)187 設(shè)計(jì)總結(jié)與體會(huì)218 參考文獻(xiàn)229 致謝233前言電動(dòng)機(jī)作為最主要的機(jī)電能量轉(zhuǎn)換裝置, 其應(yīng)用范圍已遍及國(guó)民經(jīng)濟(jì)的各個(gè) 領(lǐng)域和人們的日常生活。無(wú)論是在工農(nóng)業(yè)生產(chǎn),交通運(yùn)輸,國(guó)防,航空航天,醫(yī) 療衛(wèi)生,商務(wù)和辦公設(shè)備中, 還是在日常生活的

9、家用電器和消費(fèi)電子產(chǎn)品 (如電 冰箱,空調(diào), DVD 等)中,都大量使用著各種各樣的電動(dòng)機(jī)。據(jù)資料顯示,在 所有動(dòng)力資源中, 百分之九十以上來自電動(dòng)機(jī)。 同樣,我國(guó)生產(chǎn)的電能中有百分 之六十是用于電動(dòng)機(jī)的。電動(dòng)機(jī)與人的生活息息相關(guān),密不可分。電氣時(shí)代,電 動(dòng)機(jī)的調(diào)速控制一般采用模擬法, 對(duì)電動(dòng)機(jī)的簡(jiǎn)單控制應(yīng)用比較多。 簡(jiǎn)單控制是 指對(duì)電動(dòng)機(jī)進(jìn)行啟動(dòng),制動(dòng),正反轉(zhuǎn)控制和順序控制。這類控制可通過繼電器, 可編程控制器和開關(guān)元件來實(shí)現(xiàn)。 還有一類控制叫復(fù)雜控制, 是指對(duì)電動(dòng)機(jī)的轉(zhuǎn) 速,轉(zhuǎn)角,轉(zhuǎn)矩,電壓,電流,功率等物理量進(jìn)行控制。 1331 緒論本章介紹了直流電機(jī)的特點(diǎn)及其發(fā)展概況, 然后介紹了直流

10、電機(jī)在工業(yè)控制 等領(lǐng)域中的具體應(yīng)用, 同時(shí)闡述了直流電機(jī)控制中有待研究的問題。 并在此基礎(chǔ) 之上介紹了本課題的選題背景和意義, 最后列出了本文研究的主要內(nèi)容及全文的 結(jié)構(gòu)安排。1.1 直流電動(dòng)機(jī)控制的發(fā)展歷史常用的控制直流電動(dòng)機(jī)有以下幾種 : 第一,最初的直流調(diào)速系統(tǒng)是采用恒定 的直流電壓向直流電動(dòng)機(jī)電樞供電, 通過改變電樞回路中的電阻來實(shí)現(xiàn)調(diào)速。 這 種方法簡(jiǎn)單易行設(shè)備制造方便,價(jià)格低廉。但缺點(diǎn)是效率低、機(jī)械特性軟、不能 在較寬范圍內(nèi)平滑調(diào)速,所以目前極少采用。第二,三十年代末,出現(xiàn)了發(fā)電機(jī) - 電動(dòng)機(jī) ( 也稱為旋轉(zhuǎn)變流組 ) ,配合采用磁放大器、電機(jī)擴(kuò)大機(jī)、閘流管等控制 器件,可獲得優(yōu)良

11、的調(diào)速性能, 如有較寬的調(diào)速范圍 ( 十比一至數(shù)十比一 ) 、較小 的轉(zhuǎn)速變化率和調(diào)速平滑等, 特別是當(dāng)電動(dòng)機(jī)減速時(shí), 可以通過發(fā)電機(jī)非常容易 地將電動(dòng)機(jī)軸上的飛輪慣量反饋給電網(wǎng),這樣,一方面可得到平滑的制動(dòng)特性, 另一方面又可減少能量的損耗, 提高效率。 但發(fā)電機(jī)、電動(dòng)機(jī)調(diào)速系統(tǒng)的主要缺 點(diǎn)是需要增加兩臺(tái)與調(diào)速電動(dòng)機(jī)相當(dāng)?shù)男D(zhuǎn)電機(jī)和一些輔助勵(lì)磁設(shè)備, 因而體積 大,維修困難等。 第三,自出現(xiàn)汞弧變流器后, 利用汞弧變流器代替上述發(fā)電機(jī)、 電動(dòng)機(jī)系統(tǒng), 使調(diào)速性能指標(biāo)又進(jìn)一步提高。 特別是它的系統(tǒng)快速響應(yīng)性是發(fā)電 機(jī)、電動(dòng)機(jī)系統(tǒng)不能比擬的。但是汞弧變流器仍存在一些缺點(diǎn) : 維修還是不太方 便,

12、特別是水銀蒸汽對(duì)維護(hù)人員會(huì)造成一定的危害等。第四, 1957 年世界上出 現(xiàn)了第一只晶閘管, 與其它變流元件相比, 晶閘管具有許多獨(dú)特的優(yōu)越性, 因而 晶閘管直流調(diào)速系統(tǒng)立即顯示出強(qiáng)大的生命力。 由于它具有體積小、 響應(yīng)快、 工 作可靠、壽命長(zhǎng)、維修簡(jiǎn)便等一系列優(yōu)點(diǎn),采用晶閘管供電,不僅使直流調(diào)速系 統(tǒng)經(jīng)濟(jì)指標(biāo)上和可靠性有所提高, 而且在技術(shù)性能上也顯示出很大的優(yōu)越性。 晶 閘管變流裝置的放大倍數(shù)在 10000以上,比機(jī)組 (放大倍數(shù) 10)高 1000倍,比汞 弧變流器 (放大倍數(shù) 1000)高 10 倍; 在響應(yīng)快速性上,機(jī)組是秒級(jí),而晶閘管變 流裝置為毫秒級(jí)。 14從 20 世紀(jì) 80

13、年代中后期起,以晶閘管整流裝置取代了以往的直流發(fā)電機(jī)電 動(dòng)機(jī)組及水銀整流裝置, 使直流電氣傳動(dòng)完成一次大的躍進(jìn)。 同時(shí),控制電路實(shí) 現(xiàn)了高度集成化、小型化、高可靠性及低成本。以上技術(shù)的應(yīng)用,使直流調(diào)速系 統(tǒng)的性能指標(biāo)大幅提高,應(yīng)用范圍不斷擴(kuò)大,直流調(diào)速技術(shù)不斷發(fā)展。隨著微型計(jì)算機(jī)、 超大規(guī)模集成電路、 新型電子電力開關(guān)器件和新型傳感器 的出現(xiàn),以及自動(dòng)控制理論、電力電子技術(shù)、計(jì)算機(jī)控制技術(shù)的深入發(fā)展,直流 電動(dòng)機(jī)控制也裝置不斷向前發(fā)展。 微機(jī)的應(yīng)用使直流電氣傳動(dòng)控制系統(tǒng)趨向于數(shù) 字化、智能化,極大地推動(dòng)了電氣傳動(dòng)的發(fā)展。近年來,一些先進(jìn)國(guó)家陸續(xù)推出 并大量使用以微機(jī)為控制核心的直流電氣傳動(dòng)裝置

14、,如西門子公司的 SIMOREGK 6RA24 ABB公司的 PAD/PSD?等。隨著現(xiàn)代化步伐的加快, 人們生活水平的不斷提高, 對(duì)自動(dòng)化的需求也越來 越高,直流電動(dòng)機(jī)應(yīng)用領(lǐng)域也不斷擴(kuò)大。例如,軍事和宇航方面的雷達(dá)天線,火 炮瞄準(zhǔn),慣性導(dǎo)航,衛(wèi)星姿態(tài),飛船光電池對(duì)太陽(yáng)得跟蹤等控制;工業(yè)方面的各 種加工中心,專用加工設(shè)備,數(shù)控機(jī)床,工業(yè)機(jī)器人,塑料機(jī)械,印刷機(jī)械,繞 線機(jī),紡織機(jī)械,工業(yè)縫紉機(jī),泵和壓縮機(jī)等設(shè)備的控制;計(jì)算機(jī)外圍設(shè)備和辦 公設(shè)備中的各種磁盤驅(qū)動(dòng)器,各種光盤驅(qū)動(dòng)器,繪圖儀,掃描儀,打印機(jī),傳真 機(jī),復(fù)印機(jī)等設(shè)備的控制; 音像設(shè)備和家用電器中的錄音機(jī), 錄像機(jī),數(shù)碼相機(jī), 洗衣機(jī),

15、冰箱,電扇等的控制。隨著計(jì)算機(jī), 微電子技術(shù)的發(fā)展以及新型電力電子功率器件的不斷涌現(xiàn), 電 動(dòng)機(jī)的控制策略也發(fā)生了深刻的變化。電動(dòng)機(jī)控制技術(shù)的發(fā)展得力于微電子技 術(shù),電力電子技術(shù),傳感器技術(shù),永磁材料技術(shù), 微機(jī)應(yīng)用技術(shù)的最新發(fā)展成就。 變頻技術(shù)和脈寬調(diào)制技術(shù)已成為電動(dòng)機(jī)控制的主流技術(shù)。 正是這些技術(shù)的進(jìn)步使 電動(dòng)控制技術(shù)在近二十年內(nèi)發(fā)生了很大的變化。 其中,電動(dòng)機(jī)控制策略的模擬實(shí) 現(xiàn)正逐漸退出歷史舞臺(tái),而采用微處理器,通用計(jì)算機(jī),F(xiàn)PGA/CPLDDSP控制器等現(xiàn)代手段構(gòu)成的數(shù)字控制系統(tǒng)得到了迅速發(fā)展。 電動(dòng)機(jī)的驅(qū)動(dòng)部分所采用的 功率器件經(jīng)歷了幾次的更新?lián)Q代以后, 速度更快, 控制更容易的全

16、控型功率器件 MOSFE和 IGBT逐漸成為主流。功率器件控制條件的變化和微電子技術(shù)的使用也 使新型的電動(dòng)機(jī)控制方法能夠得到實(shí)現(xiàn)。其中,脈寬調(diào)制(PWM方法,變頻技術(shù)在直流調(diào)速和交流調(diào)速系統(tǒng)中得到了廣泛應(yīng)用。 永磁材料技術(shù)的突破與微電子 技術(shù)的結(jié)合又產(chǎn)生了一批新型的電動(dòng)機(jī),如永磁直流電動(dòng)機(jī),交流伺服電動(dòng)機(jī), 超聲波電動(dòng)機(jī)等。由于有微處理器和傳感器作為新一代運(yùn)動(dòng)控制系統(tǒng)的組成部 分,所以又稱這種運(yùn)動(dòng)控制系統(tǒng)為智能運(yùn)動(dòng)控制系統(tǒng)。所以應(yīng)用先進(jìn)控制算法, 開發(fā)全數(shù)字化智能運(yùn)動(dòng)控制系統(tǒng)將成為新一代運(yùn)動(dòng)控制系統(tǒng)設(shè)計(jì)的發(fā)展方向。 1在那些對(duì)電動(dòng)機(jī)控制系統(tǒng)的性能要求較高的場(chǎng)合 (如數(shù)控機(jī)床,工業(yè)縫紉機(jī), 磁盤

17、驅(qū)動(dòng)器,打印機(jī),傳真機(jī)等設(shè)備中,要求電動(dòng)機(jī)實(shí)現(xiàn)精確定位,適應(yīng)劇烈負(fù) 載變化),傳統(tǒng)的控制算法已難以滿足系統(tǒng)要求。為了適應(yīng)時(shí)代的發(fā)展,現(xiàn)有的 電動(dòng)機(jī)控制系統(tǒng)也在朝著高精度,高性能,網(wǎng)絡(luò)化,信息化,模糊化的方向不斷 前進(jìn)。1.2 直流電動(dòng)機(jī)控制的研究現(xiàn)狀數(shù)字直流調(diào)速裝置, 從技術(shù)上, 它能成功地做到從給定信號(hào)、 調(diào)節(jié)器參數(shù)設(shè) 定、直到觸發(fā)脈沖的數(shù)字化, 使用通用硬件平臺(tái)附加軟件程序控制一定范圍功率 和電流大小的直流電機(jī), 同一臺(tái)控制器甚至可以僅通過參數(shù)設(shè)定和使用不同的軟 件版本對(duì)不同類型的被控對(duì)象進(jìn)行控制,強(qiáng)大的通訊功能使它易和PLC等各種器 件通訊組成整個(gè)工業(yè)控制過程系統(tǒng),而且具有操作簡(jiǎn)便、抗干

18、擾能力強(qiáng)等特點(diǎn), 尤其是方便靈活的調(diào)試方法、 完善的保護(hù)功能、 長(zhǎng)期工作的高可靠性和整個(gè)控制 器體積小型化,彌補(bǔ)了模擬直流調(diào)速控制系統(tǒng)的保護(hù)功能不完善、調(diào)試不方便、 體積大等不足之處, 且數(shù)字控制系統(tǒng)表現(xiàn)出另外一些優(yōu)點(diǎn), 如查找故障迅速、 調(diào) 速精度高、維護(hù)簡(jiǎn)單,使其具備了廣一闊的應(yīng)用前景。 18國(guó)外主要電氣公司如瑞典的 ABB公司、德國(guó)的西門子公司、AEG公司、日本 的三菱公司、東芝公司、美國(guó)的 GE公司、西屋公司等,均已經(jīng)開發(fā)出多個(gè)數(shù)字 直流調(diào)速裝置,有成熟的系列化、標(biāo)準(zhǔn)化、模板化的應(yīng)用產(chǎn)品。我國(guó)從 20世紀(jì) 60年代初試制成功第一只硅晶閘管以來, 晶閘管直流調(diào)速系 統(tǒng)也得到迅速的發(fā)展和廣

19、泛的應(yīng)用。 目前,晶閘管供電的直流調(diào)速系統(tǒng)在我國(guó)國(guó) 民經(jīng)濟(jì)各部門得到廣泛的應(yīng)用。我國(guó)關(guān)于數(shù)字直流調(diào)速系統(tǒng)的研究主要有:綜合性最優(yōu)控制,補(bǔ)償PID控制, PID算法優(yōu)化,也有的只應(yīng)用模糊控制技術(shù)。19隨著新型電力半導(dǎo)體器件的發(fā)展,IGBT(絕緣柵雙極型晶體管)具有開關(guān)速度 快、驅(qū)動(dòng)簡(jiǎn)單和可以自關(guān)斷等優(yōu)點(diǎn), 克服了晶閘管的主要缺點(diǎn)。 因此我國(guó)直流電 機(jī)調(diào)速也正向著脈寬調(diào)制(pulse width modulation, 簡(jiǎn)稱PWM方向發(fā)展。16我國(guó)現(xiàn)在大部分?jǐn)?shù)字化控制直流調(diào)速裝置依靠進(jìn)口。但由于進(jìn)口設(shè)備價(jià)格昂貴,也給出了國(guó)產(chǎn)全數(shù)字控制直流調(diào)速裝置的發(fā)展空間。目前,國(guó)內(nèi)許多大專院校、科研單位和廠家也

20、都在開發(fā)全數(shù)字直流調(diào)速裝置。121.3 本課題主要研究?jī)?nèi)容及意義由于變頻技術(shù)的出現(xiàn), 交流調(diào)速一直沖擊直流調(diào)速, 但綜觀全局, 尤其是我 國(guó)在此領(lǐng)域的現(xiàn)狀, 再加上全數(shù)字直流調(diào)速系統(tǒng)的出現(xiàn), 更提高了直流調(diào)速系統(tǒng) 的精度及可靠性,直流調(diào)速系統(tǒng)仍將處于十分重要地位。對(duì)于直流調(diào)速系統(tǒng)轉(zhuǎn)速控制的要求有穩(wěn)速、 調(diào)速、加速或減速三個(gè)方面, 而 在工業(yè)生產(chǎn)中對(duì)于后兩個(gè)要求已能很好地實(shí)現(xiàn), 但工程應(yīng)用中穩(wěn)速指標(biāo)卻往往不 能達(dá)到預(yù)期的效果, 穩(wěn)速要求即以一定的精度在所需要的轉(zhuǎn)速穩(wěn)定運(yùn)行, 在各種 干擾不允許有過大的轉(zhuǎn)速波動(dòng)。穩(wěn)速很難達(dá)到要求原因在于數(shù)字直流調(diào)速裝置中的 PID 調(diào)節(jié)器對(duì)被控對(duì)象 及其負(fù)載參數(shù)變

21、化適應(yīng)能力差。 直流電機(jī)的數(shù)學(xué)模型很容易得到, 這使得經(jīng)典控 制理論在己知被控對(duì)象的傳遞函數(shù)才能進(jìn)行設(shè)計(jì)的前提得到滿足, 大部分?jǐn)?shù)字直 流調(diào)速控制器就是建立在此基礎(chǔ)上的。 然而, 在實(shí)際的傳動(dòng)系統(tǒng)中, 電機(jī)本身的 參數(shù)和拖動(dòng)負(fù)載的參數(shù)并不如模型那樣一成不變, 尤其對(duì)于中小型電機(jī), 在某些 應(yīng)用場(chǎng)合隨工況而變化 ; 同時(shí),直流電機(jī)本身是一個(gè)非線性的被控對(duì)象,許多拖 動(dòng)負(fù)載含有彈性或間隙等非線性因素, 因此,被控對(duì)象的參數(shù)變化與非線性特性, 使得線性常參數(shù) PID 調(diào)節(jié)器顧此失彼,不能使系統(tǒng)在各種工況下都能保持設(shè)計(jì)時(shí) 的性能指標(biāo), 往往使得控制系統(tǒng)的魯棒性差, 特別是對(duì)于模型參數(shù)大范圍變化且 具有

22、較強(qiáng)非線性環(huán)節(jié)的系統(tǒng), 常規(guī) PID 調(diào)節(jié)器難以滿足高精度、 快響應(yīng)的控制要 求,常常不能有效克服負(fù)載、 模型參數(shù)的大范圍變化以及非線性因素的影響。 在 工程上,這種控制器就很有可能滿足不了生產(chǎn)的需求,如 : 軋鋼工業(yè)同軸控制系 統(tǒng)、回轉(zhuǎn)窯傳動(dòng)裝置、 軋輥磨床拖板電控系統(tǒng)等都需要在生產(chǎn)過程中保持穩(wěn)定的 轉(zhuǎn)速要求,而生產(chǎn)負(fù)載參數(shù)卻是隨著工況變化的。 7模糊控制不要求被控對(duì)象的精確模型且適應(yīng)性強(qiáng), 為了克服常規(guī)數(shù)字直流調(diào) 速裝置的缺點(diǎn),可將模糊控制與 PID 調(diào)節(jié)器結(jié)合,形成 fuzzy-PID 復(fù)合控制方案, 設(shè)計(jì)能在負(fù)載、模型參數(shù)的大范圍變化以及非線性因素的影響下均可以滿足控制 穩(wěn)定轉(zhuǎn)速精度要

23、求的直流電機(jī)控制器。 52直流電機(jī)的硬件設(shè)計(jì)及描述2.1直流電機(jī)的結(jié)構(gòu)直流電機(jī)由定子和轉(zhuǎn)子兩部分組成。在定子上裝有磁極(電磁式直流電機(jī)磁極由繞在定子上的磁繞提供),其轉(zhuǎn)子由硅鋼片疊壓而成,轉(zhuǎn)子外圓有槽,槽內(nèi)嵌有電樞繞組,繞組通 過換向器和電刷引出。圖2.1圖2.22.2直流電機(jī)的工作原理直流電機(jī)電路模型,磁極 N S間裝著一個(gè)可以轉(zhuǎn)動(dòng)的鐵磁圓柱體,圓柱體 的表面上固定著一個(gè)線圈。當(dāng)線圈中流過電流時(shí),線圈受到電磁力作用,從而產(chǎn) 生旋轉(zhuǎn)。根據(jù)左手定則可知,當(dāng)流過線圈中電流改變方向時(shí), 線圈的受方向也將 改變,因此通過改變線圈電路的方向?qū)崿F(xiàn)改變電機(jī)的方向。2.3電磁關(guān)系直流電機(jī)的主要額定值有:額定功

24、率Pn:在額定電流和電壓下,電機(jī)的負(fù)載能力。額定電壓Ue:長(zhǎng)期運(yùn)行的最高電壓。額定電流Ie :長(zhǎng)期運(yùn)行的最大電流。額定轉(zhuǎn)速n:?jiǎn)挝粫r(shí)間內(nèi)的電機(jī)轉(zhuǎn)動(dòng)快慢。以r/min為單位。勵(lì)磁電流If :施加到電極線圈上的電流。2.5直流電機(jī)的類型直流電機(jī)可按其結(jié)構(gòu)、工作原理和用途等進(jìn)行分類,其中根據(jù)直流電機(jī)的用 途可分為以下幾種:直流發(fā)電機(jī)(將機(jī)械能轉(zhuǎn)化為直流電能)、直流電動(dòng)機(jī)(將 直流電能轉(zhuǎn)化為機(jī)械能)、直流測(cè)速發(fā)電機(jī)(將機(jī)械信號(hào)轉(zhuǎn)換為電信號(hào))、直流 伺服電動(dòng)機(jī)(將控制信號(hào)轉(zhuǎn)換為機(jī)械信號(hào))。下面以直流電動(dòng)機(jī)作為研究對(duì)象。 2.6直流電機(jī)的特點(diǎn)通過電刷間的電流與導(dǎo)體電流的性質(zhì)不同;通過電刷電流為直流電流,導(dǎo)

25、體電流為交變電流;電樞電勢(shì)與電流反方向,稱為反電勢(shì)。電磁轉(zhuǎn)矩的方向與電機(jī) 的轉(zhuǎn)向相同,為驅(qū)動(dòng)轉(zhuǎn)矩。作為電動(dòng)機(jī)運(yùn)行:在直流電機(jī)的兩電刷端上加上直流 電壓,電樞旋轉(zhuǎn),拖動(dòng)生產(chǎn)機(jī)械旋轉(zhuǎn),輸出機(jī)械能;作為發(fā)動(dòng)機(jī)運(yùn)行:用原動(dòng)機(jī) 拖動(dòng)直流電機(jī)的電樞,電刷端引出直流電動(dòng)勢(shì),作為直流電源,輸出電能。2.7元件介紹(1)DAC0832數(shù)模轉(zhuǎn)換器)DAC0832是8分辨率的D/A轉(zhuǎn)換集成芯片,與微處理器完全兼容。這個(gè)DA芯片以其價(jià)格低廉、接口簡(jiǎn)單、轉(zhuǎn)換控制容易等優(yōu)點(diǎn),在單片機(jī)應(yīng)用系 統(tǒng)中得到廣泛的應(yīng)用。D/A轉(zhuǎn)換器由8位輸入鎖存器、8位DAC寄存器、8位D/A轉(zhuǎn)換電路及轉(zhuǎn)換控制電路構(gòu)成。a.主要特性參數(shù):分辨率為

26、8位電流穩(wěn)定時(shí)間1us ;可單緩沖、雙緩沖或直接數(shù)字輸入;只需在滿量程下調(diào)整其線性度;單一電源供電(+5V+15V );低功耗,200mW。b 芯片結(jié)構(gòu):D0D7: 8位數(shù)據(jù)輸入線,TTL電平,有效時(shí)間應(yīng)大于 90ns(否則鎖存器的數(shù)據(jù)會(huì)出錯(cuò));ILE :數(shù)據(jù)鎖存允許控制信號(hào)輸入線,高電平有效;CS:片選信號(hào)輸入線(選通數(shù)據(jù)鎖存器),低電平有效;WR1數(shù)據(jù)鎖存器寫選通輸入線,負(fù)脈沖(脈寬應(yīng)大于500ns)有效。由ILE、CS WR1的邏輯組合產(chǎn)生 LE1,當(dāng)LE1為高電平時(shí),數(shù)據(jù)鎖存器狀態(tài) 隨輸入數(shù)據(jù)線變換,LE1的負(fù)跳變時(shí)將輸入數(shù)據(jù)鎖存;XFER數(shù)據(jù)傳輸控制信號(hào)輸入線,低電平有效,負(fù)脈沖(脈

27、寬應(yīng)大于500ns)有效;WR2 DAC寄存器選通輸入線,負(fù)脈沖(脈寬應(yīng)大于500ns)有效。由 WR1XFER的邏輯組合產(chǎn)生 LE2,當(dāng)LE2為高電平時(shí),DAC寄存器的輸出隨 寄存器的輸入而變化,LE2的負(fù)跳變時(shí)將數(shù)據(jù)鎖存器的內(nèi)容打入DAC寄存器并開始D/A轉(zhuǎn)換。IOUT1 :電流輸出端1,其值隨DAC寄存器的內(nèi)容線性變化;IOUT2:電流輸出端 2,其值與IOUT1值之和為一常數(shù);Rfb :反饋信號(hào)輸入線,改變 Rfb端外接電阻值可調(diào)整轉(zhuǎn)換滿量程精度;Vcc:電源輸入端, Vcc的范圍為+5V+15V;VREF基準(zhǔn)電壓輸入線,VREF的范圍為-10V+10V;AGND模擬信號(hào)地 DGND數(shù)

28、字信號(hào)地圖2.5 DAC0832的引腳圖c.工作方式:根據(jù)對(duì)DAC0832的數(shù)據(jù)鎖存器和 DAC寄存器的不同的控制方式,DAC0832 有三種工作方式:直通方式、單緩沖方式和雙緩沖方式。(2) 89C51單片機(jī)89C51是一種帶4K字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器(FPEROM FalshProgrammable and Erasable Read Only Memory 的低電壓、高性能 CMOS8 位微處理器,俗稱單片機(jī)。單片機(jī)的可擦除只讀存儲(chǔ)器可以反復(fù)擦除 100次。該器采 用 ATMEL 高密度非易失存儲(chǔ)器制造技術(shù)制造, 與工業(yè)標(biāo)準(zhǔn)的 MCS-51 指令集和 輸出管腳相兼容。其主要特性:

29、 1000 次寫/擦循環(huán);數(shù)據(jù)保留 10 年;全靜態(tài)工作: 0HZ-24MHZ ;三級(jí)程序存儲(chǔ)器鎖存;128*8 位內(nèi)部 RAM ;32可編程 I/O 線;兩個(gè) 16位定時(shí)器 /計(jì)數(shù)器;5個(gè)中斷源, 2個(gè)中斷優(yōu)先級(jí); 可編程串行口通道; 低功耗的閑置和掉電模式; 片內(nèi)振蕩器和時(shí)鐘電路;引腳功能:VCC :接供電電壓。GND :接地。P0 口: P0 口為一個(gè)8位漏級(jí)開路雙向I/O 口,每腳可吸收8TTL門電流。 當(dāng)P1 口的管腳第一次寫1時(shí),被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存 儲(chǔ)器,它可以被定義為數(shù)據(jù) /地址的低八位。在 FIASH 編程時(shí), P0 口作為原碼 輸入口,當(dāng)FIASH進(jìn)

30、行校驗(yàn)時(shí),P0輸出原碼,此時(shí)P0外部必須被拉高。P1 口: P1 口是一個(gè)內(nèi)部提供上拉電阻的 8位雙向 I/O 口, P1 口緩沖器能接 收輸出 4TTL 門電流。 P1 口管腳寫入 1 后,被內(nèi)部上拉為高,可用作輸入, P1 口被外部下拉為低電平時(shí),將輸出電流,這是由于內(nèi)部上拉的緣故。在 FLASH 編程和校驗(yàn)時(shí), P1 口作為第八位地址接收。P2 口: P2 口為一個(gè)內(nèi)部上拉電阻的8位雙向I/O 口,P2 口緩沖器可接收, 輸出 4 個(gè) TTL 門電流,當(dāng) P2 口被寫“1時(shí)”,其管腳被內(nèi)部上拉電阻拉高,且作 為輸入。并因此作為輸入時(shí), P2 口的管腳被外部拉低,將輸出電流。這是由于 內(nèi)部

31、上拉的緣故。P2 口當(dāng)用于外部程序存儲(chǔ)器或16位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行 存取時(shí),P2 口輸出地址的高八位。在給出地址 “ 1時(shí),它利用內(nèi)部上拉優(yōu)勢(shì),對(duì) 外部八位地址數(shù)據(jù)存儲(chǔ)器進(jìn)行讀寫時(shí),P2 口輸出其特殊功能寄存器的內(nèi)容。P2 口在FLASH編程和校驗(yàn)時(shí)接收高八位地址信號(hào)和控制信號(hào)。P3 口: P3 口管腳是8個(gè)帶內(nèi)部上拉電阻的雙向I/O 口,可接收輸出4個(gè)TTL 門電流。當(dāng)P3 口寫入“ 1后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入, 由于外部下拉為低電平,P3 口將輸出電流(ILL )這是由于上拉的緣故。P3 口也可作為AT89C51的一些特殊功能口,如表 2.5所示:表2.5 P3

32、 口各位的第二功能P3 口的各位第二功能P3 口的各位第二功能P3.0RXD (串行口輸入)P3.4T0 (定時(shí)/計(jì)數(shù)器0的外部 輸入)P3.1TXD (串行口輸出)P3.5T1(定時(shí)/計(jì)數(shù)器1的外部 輸入)P3.2/INT0 (外部中斷 0輸入)P3.6/WR (片外數(shù)據(jù)存儲(chǔ)器寫 選通道控制輸出)P3.3/INT1 (外部中斷 1輸出)P3.7/RD (片外數(shù)據(jù)存儲(chǔ)器讀選 通道控制輸出)P3 口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號(hào)RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持 RST腳兩個(gè)機(jī)器周期的高 電平時(shí)間。ALE/PROG :當(dāng)訪問外部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于 鎖存地址的

33、地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程脈沖。在平時(shí), ALE端以不變的頻率周期輸出正脈沖信號(hào),此頻率為振蕩器頻率的1/6。因此它可用作對(duì)外部輸出的脈沖或用于定時(shí)目的。 然而要注意的是:每當(dāng)用作外部數(shù)據(jù) 存儲(chǔ)器時(shí),將跳過一個(gè)ALE脈沖。如想禁止ALE的輸出可在SFR8EH地址上置 0。此時(shí),ALE只有在執(zhí)行 MOVX,MOVC指令是ALE才起作用。另外,該 引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài) ALE禁止,置位無(wú)效。/PSEN:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間,每個(gè) 機(jī)器周期兩次/PSEN有效。但在訪問外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的/PSEN信 號(hào)將不出現(xiàn)。

34、/EA/VPP :當(dāng)/EA保持低電平時(shí),則在此期間外部程序存儲(chǔ)器 (0000H-FFFFH),不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式1時(shí),/EA將內(nèi)FLASH 編部鎖定為RESET;當(dāng)/EA端保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器 程期間,此引腳也用于施加12V編程電源(VPP)。XTAL1 :反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。XTAL2 :來自反向振蕩器的輸出Pl. J-CCPi.ipo,oPl.2PO.lPl.3PG.2Pl.4PO.3Pl.5PO.4Pl.6PO.5Pl.7PO.6RSTPO.7RXDEAATE ENTO PSEK FMT1P2.7TOP2.6T1P2 嚴(yán)WR.P2

35、.4R2DP2.3XTAL2 P2+2 XTAL1 P2 + l GNEP2.0ATS9C51 I I圖2.6 89C51單片機(jī)引腳圖253基本原理3.1設(shè)計(jì)思路1) .通過鍵盤改變脈沖的占空比從而達(dá)到改變轉(zhuǎn)速使得電機(jī)轉(zhuǎn)速?gòu)母叩降停瑥牡?到高2) .通過改變pwm的極性從而改變電機(jī)的轉(zhuǎn)向,實(shí)現(xiàn)正反轉(zhuǎn)3) .能夠通過數(shù)碼顯示管顯示電機(jī)的轉(zhuǎn)速和電機(jī)的轉(zhuǎn)向4) .通過啟動(dòng)鍵唯一啟動(dòng)電機(jī),從而達(dá)到防止電機(jī)誤啟動(dòng)的目的5) .能夠通過鍵盤快速達(dá)到電機(jī)預(yù)先設(shè)定的速度和轉(zhuǎn)向備注:由于沒有傳感器,所以本課程設(shè)計(jì)中沒有設(shè)計(jì)測(cè)速模塊,所顯示的速 度為理論速度,并非電機(jī)的實(shí)際轉(zhuǎn)速3.2電機(jī)正反轉(zhuǎn)控制原理3.2.1控

36、制線路三相異步電動(dòng)機(jī)接觸器聯(lián)鎖的正反轉(zhuǎn)控制的電氣原理圖如圖3-4所示。線路中采用了兩個(gè)接觸器,即正轉(zhuǎn)用的接觸器 KM1和反轉(zhuǎn)用的接觸器KM2它們分別 由正轉(zhuǎn)按鈕SB2和反轉(zhuǎn)按鈕SB3控制。這兩個(gè)接觸器的主觸頭所接通的電源相序 不同,KM1按L1 L2L3相序接線,KM2則對(duì)調(diào)了兩相的相序??刂齐娐酚袃蓷l, 一條由按鈕SB2和KM1線圈等組成的正轉(zhuǎn)控制電路;另一條由按鈕SB3和KM2線圈等組成的反轉(zhuǎn)控制電路。322控制原理當(dāng)下正轉(zhuǎn)啟動(dòng)按鈕SB2后,電源相通過熱繼電器 FR的動(dòng)斷接點(diǎn)、停止按鈕 SB 1的動(dòng)斷接點(diǎn)、正轉(zhuǎn)啟動(dòng)按鈕 SB2的動(dòng)合接點(diǎn)、反轉(zhuǎn)交流接觸器 KM2的常閉 輔助觸頭、正轉(zhuǎn)交流接觸

37、器線圈 KM1使正轉(zhuǎn)接觸器KM1帶電而動(dòng)作,其主觸頭 閉合使電動(dòng)機(jī)正向轉(zhuǎn)動(dòng)運(yùn)行,并通過接觸器KM1的常開輔助觸頭自保持運(yùn)行。反 轉(zhuǎn)啟動(dòng)過程與上面相似,只是接觸器KM2動(dòng)作后,調(diào)換了兩根電源線U、W相(即 改變電源相序),從而達(dá)到反轉(zhuǎn)目的。3.3電機(jī)的啟動(dòng)與停止如上圖,按下起動(dòng)按鈕SB2接觸器KM線圈得電,KM主觸頭及輔助觸頭動(dòng) 作,電動(dòng)機(jī)運(yùn)轉(zhuǎn)并完成自保,按下停車按鈕SB1 KM線圈失電,KM主觸頭及 輔助觸頭復(fù)位,電動(dòng)機(jī)停止運(yùn)轉(zhuǎn)。3.4直流電機(jī)的調(diào)速眾所周知,直流電機(jī)轉(zhuǎn)速n的表達(dá)式為:U IR(2 - 1)n =K式中:U-電樞端電壓I-電樞電流R-電樞電路總電阻-每極磁通量K-與電機(jī)結(jié)構(gòu)有關(guān)

38、的常數(shù)由上式可知,直流電機(jī)轉(zhuǎn)速n的控制方法有三種:(1) 調(diào)節(jié)電樞電壓U。改變電樞電壓從而改變轉(zhuǎn)速,屬恒轉(zhuǎn)矩調(diào)速方法,動(dòng)態(tài)響應(yīng)快,適用于要求大范圍無(wú)級(jí)平滑調(diào)速的系統(tǒng);(2) 改變電機(jī)主磁通中只能減弱磁通,使電動(dòng)機(jī)從額定轉(zhuǎn)速向上變速,屬恒功率調(diào)速方法,動(dòng)態(tài)響應(yīng)較慢,雖能無(wú)級(jí)平滑調(diào)速,但調(diào)速范圍??;(3) 改變電樞電路電阻R在電動(dòng)機(jī)電樞外串電阻進(jìn)行調(diào)速,只能有級(jí)調(diào)速, 平滑性差、機(jī)械特性軟、效率低。改變電樞電路電阻的方法缺點(diǎn)很多,目前很少采用:弱磁調(diào)速范圍不大,往 往與調(diào)壓調(diào)速配合使用;因此,自動(dòng)調(diào)速系統(tǒng)以調(diào)壓調(diào)速為主,這也是論文中設(shè) 計(jì)系統(tǒng)所采用的方法。改變電樞電壓主要有三種方式 : 旋轉(zhuǎn)變流機(jī)

39、組、靜止變流裝置、脈寬調(diào)制(pwm變換器(或稱直流斬波器)。(1) 旋轉(zhuǎn)變流機(jī)組用交流電動(dòng)機(jī)和直流發(fā)電機(jī)組成機(jī)組以獲得可調(diào)直流電壓,簡(jiǎn)稱G-M系統(tǒng),國(guó)際上統(tǒng)稱 Ward-Leonard系統(tǒng),這是最早的調(diào)壓調(diào)速系統(tǒng)。 G-M系統(tǒng)具有很好的調(diào)速性能,但系統(tǒng)復(fù)雜、體積大、效率低、運(yùn)行有噪音、維 護(hù)不方便。(2) 20 世紀(jì) 50 年代,開始用汞弧整流器和閘流管組成的靜止變流裝置取代旋轉(zhuǎn)變流機(jī)組,但到 50 年代后期又很快讓位于更為經(jīng)濟(jì)可靠的晶閘管變流裝置。 采用晶閘管變流裝置供電的直流調(diào)速系統(tǒng)簡(jiǎn)稱 V-M 系統(tǒng), 又稱靜止的 Ward-Leonard系統(tǒng),通過控制電壓的改變來改變晶閘管觸發(fā)控制角a。

40、進(jìn)而改變整流電壓Ud的大小,達(dá)到調(diào)節(jié)直流電動(dòng)機(jī)轉(zhuǎn)速的目的。V-M在調(diào)速性能、可靠性、經(jīng)濟(jì)性上都具有優(yōu)越性,成為直流調(diào)速系統(tǒng)的主要形式。(3) 脈寬調(diào)制(PWM)變換器又稱直流斬波器,是利用功率開關(guān)器件通斷實(shí)現(xiàn) 控制,調(diào)節(jié)通斷時(shí)間比例,將固定的直流電源電壓變成平均值可調(diào)的直流電壓, 亦稱DC-DC變換器。絕大多數(shù)直流電動(dòng)機(jī)采用開關(guān)驅(qū)動(dòng)方式。 開關(guān)驅(qū)動(dòng)方式是使半導(dǎo)體功率器件 工作在開關(guān)狀態(tài),通過脈寬調(diào)制 PWM 來控制電動(dòng)機(jī)電樞電壓,實(shí)現(xiàn)調(diào)速。4程序流程圖圖4.1程序流程圖5控制電路圖5.1程序電路圖Ad2;T&T/、M-aElTT-Jlps-H -M-Is161 卩 惻PJV瞬尬加砧阿耐由ngr

41、awrg為-rrg-Is*31 山 “ 2. TJ L. 5- MsU2q-協(xié)nrnrlrlalnvlnflglIIMac1nn恥BIABlclED3晰+D3tEo3clc7匚IT rn1IF |C1UlL fC2REITrE門.価:ran 紺 E?圖5.1程序電路圖R2R1ni*丹TMk31T 卜5.2程序運(yùn)行結(jié)果圖C1t(1:”顧isUiC2mu恤膻PD.I/AMPDAEPEUAE3POkAh解恥PDAKRETPZDISawep酬口wpmiiMEPt酬EP悩畑p喚p訂惦FilmP3JFRX5n F12P7加鬥FiJPlMITffli陰Fi5P3fmPI斤p頤Pt.?3遇尋一35丁衛(wèi)3_21

42、P衛(wèi)山Z2E商更丄-L1:亙JiliiDBDE 1 VM VS垃cun訂OUT:ENAENBCUT:狛離MT*匪耀 GIO圖5.2程序運(yùn)行圖DIUDE :T肋6 程序設(shè)計(jì)圖#include reg52.h#define unint unsigned int #define unchar unsigned charunsigned char dispbuf4=0,0,0,0; unsigned char dispbitcnt;unint mstcnt;unint i;sbit a0=P3A0; sbit a仁卩3八1; sbit e=P3A7;unint count=0; unchar tp=0; void keyscan(); void delay(); void just(); void turn(); void motorstop(); void speedup(); void speeddown();void main(void)a0=1; a1=0; dispbuf0=16;TMOD=0x02;TH0=0x06; TL0=0x06;TR0=1;ET0=1;EA=1;while(1)keyscan();/ 鍵盤掃描/延時(shí)10ms程序void delay()unsigned char i,j;for(i=20;i0;i-)for(j=248;j0;j

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論