嵌入式系統(tǒng)課程設(shè)計基于FPGA的出租車計費器設(shè)計_第1頁
嵌入式系統(tǒng)課程設(shè)計基于FPGA的出租車計費器設(shè)計_第2頁
嵌入式系統(tǒng)課程設(shè)計基于FPGA的出租車計費器設(shè)計_第3頁
嵌入式系統(tǒng)課程設(shè)計基于FPGA的出租車計費器設(shè)計_第4頁
嵌入式系統(tǒng)課程設(shè)計基于FPGA的出租車計費器設(shè)計_第5頁
已閱讀5頁,還剩17頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)

文檔簡介

1、湖南工程學(xué)院課 程 設(shè) 計課程名稱 嵌入式系統(tǒng) 課題名稱 基于cpld的出租車計費器專 業(yè) 電子信息工程 班 級 電子信息 學(xué) 號 姓 名 指導(dǎo)教師 2015年12月20日湖南工程學(xué)院課 程 設(shè) 計 任 務(wù) 書課程名稱: 嵌入式系統(tǒng) 題 目:基于cpld的出租車計費器專業(yè)班級: 電子信息 學(xué)生姓名: 指導(dǎo)老師: 審 批: 任務(wù)書下達日期 2015 年12 月 1日設(shè) 計 完成日期 2015 年 12月 15日 設(shè)計內(nèi)容與設(shè)計要求cpld為復(fù)雜可編程邏輯器件,通過eda技術(shù)對其進行編程,可將一個較復(fù)雜的數(shù)字系統(tǒng)集成于一個芯片中,制成專用集成電路芯片,并可隨時在系統(tǒng)修改其邏輯功能。有關(guān)知識可參見相

2、關(guān)教材或參考書。一設(shè)計內(nèi)容(1) 實現(xiàn)計費功能,計費標準為:按行駛里程計費,起步價為7.00元,并在車行3km后按2.20元/km計費,當(dāng)計費器達到或超過20元時,每公里加收50的車費,車停止不計費。(2) 現(xiàn)場模擬功能:能模擬汽車起動、停止、暫停以及加速等狀態(tài)。(3) 按計動態(tài)掃描電路,將車費和路程顯示出來,各有兩位小數(shù)。二、設(shè)計要求:1、 設(shè)計思路清晰,給出整體設(shè)計框圖;2、 設(shè)計各單元電路,完成其功能仿真和編譯并生成低層模塊;3、 完成頂層設(shè)計并編譯通過;4、 完成設(shè)計下載并調(diào)試電路;5、 寫出設(shè)計報告;主要設(shè)計條件1. 提供eda實驗室;2. 提供el實驗箱和cpld芯片;3. 提供設(shè)

3、計軟件說明書格式1. 課程設(shè)計封面;2. 任務(wù)書;3. 說明書目錄;4. 設(shè)計總體思路,基本原理和框圖(頂層電路圖);5. 單元電路設(shè)計(各次級模塊電路圖);6. 設(shè)計仿真;7. 編程下載;8. 總結(jié)與體會;9. 附錄;10. 參考文獻。進度安排星期一、二:下達設(shè)計任務(wù)書,介紹課題內(nèi)容與要求;查找資料,確定總體設(shè)計方案和單元電路設(shè)計;星期三第二周星期一:單元電路設(shè)計與仿真,硬件下載;第二周星期二、三:硬件下載;第二星期四、五:書寫設(shè)計報告,打印相關(guān)圖紙;答辯參考文獻1. 康華光主編.電子技術(shù)基礎(chǔ)(數(shù)字部分),高等教育出版社。2. 閻石主編. 電子技術(shù)基礎(chǔ)(數(shù)字部分),清華大學(xué)出版社。3. 陳大

4、欽主編,電子技術(shù)基礎(chǔ)實驗,高等教育出版社。4. 彭介華主編,電子技術(shù)課程設(shè)計指導(dǎo),高等教育出版社。5. 張 原編著,可編程邏輯器件設(shè)計及應(yīng)用,機械工業(yè)出版社。6.荀殿棟,徐志軍編著,數(shù)字電路設(shè)計實用手冊,電子工業(yè)出版社。7. 劉洪喜,陸穎編著. vhdl電路設(shè)計實用教程 清華大學(xué)出版社 目 錄摘要 1abstract1引言11.概述22.總體設(shè)計32.1設(shè)計要求32.2基本的原理方框圖32.3 開發(fā)環(huán)境43.軟件設(shè)計43.1總體設(shè)計43.2 各模塊設(shè)計54.結(jié)果仿真114.1編譯114.2管腳配置114.3程序下載125.硬件電路126. 系統(tǒng)使用說明13結(jié)束語14參考文獻14致謝15附錄17

5、基于cpld的出租車計費器摘 要:本文借助quartus9.0軟件,基于大規(guī)??删幊踢壿嬈骷pga,利用verilog hdl語言,進行出租車計費器設(shè)計。該出租車計費系統(tǒng)包括計數(shù)器分頻模塊、數(shù)碼管分頻模塊、計程模塊、計時模塊、計費模塊、控制模塊、數(shù)碼管顯示模塊和頂層主控模塊共八大部分;分起步、里程、等待三個階段。該出租車計費器能夠自行設(shè)定計費標準,借助cyclone系列ep20c8q208c8n芯片的fpga開發(fā)板,進行功能仿真,并且利用外圍電路和開發(fā)板的結(jié)合,能夠進行相應(yīng)的硬件測試。測試結(jié)果由2片4個相連的7段共陽極數(shù)碼管驅(qū)動電路來顯示。關(guān)鍵詞:出租車計費器;quartus9.0軟件;ve

6、rilog hdl語言;fpga開發(fā)板引言隨著出租車行業(yè)的發(fā)展,對出租車計費器的要求也越來越高,用戶不僅要求計費器性能穩(wěn)定、計費準確;同時還要求其具有計價標準的靈活設(shè)定、營運額統(tǒng)計、密碼設(shè)定等功能。目前,出租車行業(yè)面臨油價上漲和夏季空調(diào)費調(diào)整的問題,修改計價標準隨著油價的上漲勢在必行,所以出租車計費器計價標準的靈活設(shè)定成為計費器不可缺少的一部分。因此性能穩(wěn)定、便于檢定、維護及修改參數(shù)成為出租車記費器的關(guān)鍵技術(shù)。出租車計價系統(tǒng)較多的是利用單片機進行控制,但較易被私自改裝,且故障率相對較高,不易升級。而fpga具有高密度、可編程及有強大的軟件支持等特點,所以設(shè)計的產(chǎn)品具有功能強、可靠性高、易于修改

7、等特點1。隨著fpga(field programmable gate array) 等可編程器件的出現(xiàn),解決了傳統(tǒng)電子設(shè)計不能完成的任務(wù)。利用fpga來實現(xiàn)出租車計費器,可行性很高,電路簡單,大大減少外圍器件,可以用軟件完全仿真,靈活度高,而且編好的系統(tǒng)可以在不同的fpga芯片上通用。同時由于fpga 的功能完全取決于語言verilog hdl編寫的程序,不拘泥于某種芯片的特殊指令2,更加提高了產(chǎn)品的更新?lián)Q代能力。fpga在電子設(shè)計領(lǐng)域中價格低廉,有良好的發(fā)展趨勢,它的出現(xiàn)必定會占領(lǐng)大部分數(shù)字器件市場。本設(shè)計選用的fpga芯片,主要是altera公司的cyclone系列芯片ep20c8q20

8、8c8n。使用軟件 quartus9.0和超高速硬件描述語言verilog hdl,從而實現(xiàn)計價標準的靈活設(shè)定。使得該出租車計費器的特點是計費準確、性能穩(wěn)定、分階段(起步、里程、等待)自動計費3。1.概述eda(electronic design automation)即電子設(shè)計自動化,是電子設(shè)計技術(shù)的核心,它的系統(tǒng)級高層次電子設(shè)計方法,對整個系統(tǒng)進行方案設(shè)計和功能劃分,無須通過門級原理圖描述電路,而是針對設(shè)計目標進行功能描述,擺脫了電路細節(jié)的束縛,大大縮短了產(chǎn)品的研制周期。同時,這種高層次設(shè)計只是定義系統(tǒng)的行為特性,而不涉及實現(xiàn)工藝,因此利用其綜合優(yōu)化工具使工藝轉(zhuǎn)化變得輕而易舉。fpga 是

9、現(xiàn)場可編程門陣列的簡稱。起源于美國的xilinx公司,它結(jié)合了微電子技術(shù)、電路技術(shù)和eda技術(shù),使設(shè)計者可以集中精力進行所需邏輯功能的設(shè)計,縮短設(shè)計周期,提高設(shè)計質(zhì)量。fpga器件在結(jié)構(gòu)上,由邏輯功能塊排列為陣列,并由可編程的內(nèi)部連線連接這些功能塊來實現(xiàn)一定的邏輯功能。以xilinx公司的fpga器件為例,它的結(jié)構(gòu)可以分為三個部分:可編程邏輯塊clb(configurable logic blocks)、可編程i/o模塊iob(input/output block)和可編程內(nèi)部連線pi(programmable interconnect) 4。fpga的開發(fā)系統(tǒng)包括軟件和硬件兩個部分。開發(fā)系統(tǒng)

10、軟件指專用的編程語言和相應(yīng)的匯編程序或編譯程序。開發(fā)系統(tǒng)硬件部分包括計算機和編程器。編程器是對fpga進行寫入和擦除的專用裝置,能夠供寫入或擦除操作所需要的電源電壓和控制信號,并通過串行接口從計算機接收編程數(shù)據(jù),最終寫進fpga之中5?;趂pga的計費器系統(tǒng)利用verilog hdl語言,采用模塊化程序設(shè)計3,自頂向下、由粗到細、逐步求精的方法,將基于fpga的計費器系統(tǒng)的整體逐步分解各個模塊。它不需要專門的硬件,只通過軟件編程即可實現(xiàn)計費器系統(tǒng)的邏輯功能、電路結(jié)構(gòu)和連接形式。verilog hdl語言類似c語言,可讀性強、更易理解,這種語言幾乎覆蓋了以往各種硬件描述語言的功能,在編程的過程

11、中一般采用自頂向下的電路設(shè)計過程。本設(shè)計利用verilog hdl語言,在quartus9.0軟件中將出租車計費器基本結(jié)構(gòu)分成8個模塊對其進行程序匯編6。將各塊程序生成的.v文件組合在一起,生成數(shù)字鐘源代碼的.bdf 圖形文件,最后下載到cyclone系列芯片ep20c8q208c8n中,驗證試驗結(jié)果。在protel99se的開發(fā)環(huán)境內(nèi),利用三極管、電阻、數(shù)碼管、蜂鳴器等元器件設(shè)計該出租車計費器的外圍電路,并進行pcb布線。利用實驗室現(xiàn)有的資源制作出相應(yīng)的電路板并完成硬件電路的設(shè)計,和軟件設(shè)計部分一起共同驗證設(shè)計的最終結(jié)果。2.總體設(shè)計2.1設(shè)計要求行程3公里內(nèi)(包括3公里),且等待累計時間2

12、分鐘內(nèi)(包括2分鐘),起步費為6.0元;3公里外(不包括3公里)以每公里1.0元,等待累計時間2分鐘外(不包括2分鐘)以每分鐘以1.0元計費。能顯示行駛公里數(shù)、等待累計時間和最后的總費用。本計費器的顯示范圍為099元,計價分辨率為1元;計程器顯示范圍為099公里,分辨率為1公里;計時器的顯示范圍是分鐘的顯示范圍是099, 辨率為1分鐘。秒的顯示范圍是059。分辨率為1秒。2.2基本的原理方框圖等待信號行駛信號分頻模塊計費模塊計時模塊計程模塊顯示輸出模塊主控制模塊計費/復(fù)位時鐘信號圖1 基本原理方框圖該出租車計費器的基本原理方框圖如圖1所示,由時鐘信號、等待信號、分頻模塊、行駛信號、計費/復(fù)位模

13、塊、主控模塊、計時模塊、計費模塊、計程模塊、顯示輸出模塊8部分組成。該計費系統(tǒng)通過分頻模塊將50mhz的時鐘信號通過分頻器變成1hz的時鐘信號和1khz的顯示輸出信號。該出租車計費的標準是起步價是6元,3公里之內(nèi)費用不變,當(dāng)超過3公里時,每行駛1公里,費用加收1元;在等待的過程中,2分鐘之內(nèi),費用不變,當(dāng)超過2分時,每經(jīng)過2分鐘,費用加收1元。由start信號和reset信號共同來控制信號是等待信號還是行駛信號,當(dāng)start是高電平且reset同時為高電平時,此時行駛信號起作用,出租車計費顯示模塊顯示相應(yīng)的費用和行駛的里程;當(dāng)start是低電平且reset同時為高電平時,此時等待信號起作用,出

14、租車計費顯示模塊顯示相應(yīng)的費用和等待的時間。當(dāng)reset為低電平時,計費系統(tǒng)復(fù)位。該計費系統(tǒng)的顯示費用是099元。2.3 開發(fā)環(huán)境軟件環(huán)境:quartus9.0 軟件、protel99se軟件、verilog hdl語言。 硬件環(huán)境:fpga開發(fā)板、ep20c8q208c8n芯片一片、4個相連的7段共陽極數(shù)碼顯示管2片、按鍵開關(guān)2個、單刀雙擲開關(guān)2個、4.7k的電阻9個、100的電阻8個、pnp三極管8個、npn三極管1個7、蜂鳴器1個、導(dǎo)線若干、下載線一根等。3.軟件設(shè)計3.1總體設(shè)計利用verilog hdl硬件描述語言用自頂向下的設(shè)計方法編寫程序,將出租車計費器的模塊分為時鐘分頻模塊、顯

15、示分頻模塊、計時模塊、計費模塊、計程模塊、數(shù)碼顯示模塊、控制模塊和主控模塊8部分,其中頂層模塊是主控模塊。主控模塊用來控制出租車計費器的輸入輸出信號,即控制出租車計費器是處于行駛狀態(tài)還是處于等待計時狀態(tài)。并且通過主控模塊中的start信號和reset信號來控制時鐘信號的狀態(tài)8。顯示模塊主要包括計時模塊、計費模塊、計程模塊。從而并實現(xiàn)出租車計費器的顯示。通過quartus9.0軟件編程之后,將分別生成計數(shù)器的分頻模塊、數(shù)碼管的分頻模塊、計程模塊、計時模塊、計費模塊、控制模塊、數(shù)碼管顯示模塊和主控模塊的代碼1,對應(yīng)的文本文件分別為div.v、div1.v、distancemokuai.v、time

16、mokuai、feemokuai.v、control.v、scan_led.v、和zhukong.v。各模塊程序編譯成功之后可以生成的總的模塊圖如圖2所示。將程序下載到fpga 的開發(fā)板上,系統(tǒng)分析論證后,各模塊分工協(xié)作,共同實現(xiàn)出租車計費這一功能。圖2總模塊圖3.2 各模塊設(shè)計3.2.1時鐘分頻模塊圖3時鐘分頻模塊計數(shù)器分頻模塊程序成功編譯之后生成的模塊圖如圖3所示,此模塊是用于時鐘信號的分頻。clk是50mhz的時鐘信號,通過程序9:if(!reset) begin clk=d0; count=32d0; end else if(count=32d25_000000)/判斷計時器記到了25

17、000000嗎? begin count=32d0;/計到25_000000計數(shù)器清零 clkclk;/輸出的時鐘取反 end else count=count+1d1;/ 沒計到25000000計數(shù)器加一 end將時鐘信號變成1hz的信號,從而降低了時鐘信號的周期,滿足了本實驗的要求。它的詳細代碼見附錄。圖4時鐘仿真模塊仿真波形時鐘分頻模塊的仿真結(jié)果如圖4所示,從波形可以看出當(dāng)reset為低電平的時候clk為零,當(dāng)為高電平的時候clk的高電平占了clk_50m的5個周期,低電平也占了clk_50m的5個周期。3.2.2數(shù)碼管分頻模塊圖5數(shù)碼管分頻模塊顯示分頻模塊程序成功編譯之后生成的模塊圖如

18、圖5所示,此模塊是用于顯示信號的分頻。其中clk是50mhz的時鐘信號8,通過程序if(!reset) begin clk1=d0; count=32d0;endelse if(count=32d25_000)/ 判斷計時器記到了25_000嗎? begin count=32d0;/計到25_000計數(shù)器清零 clk1=clk1;/將時鐘信號變成1khz的信號10,從而滿足實驗的要求。3.2.3計程模塊圖6計程模塊此模塊的功能是計算出租車行駛的路程。在出租車啟動并行駛的過程中(即復(fù)位/啟動信號reset為1,行駛/停止信號start為1),當(dāng)時鐘clk是上升沿的時候,系統(tǒng)即對路程計數(shù)器dist

19、ance的里程計數(shù)器進行加計數(shù),當(dāng)路程超過三公里時,系統(tǒng)將輸出標志正脈沖distance_enable。計程模塊的方框圖如圖6所示。圖7計程模塊仿真波形仿真的波形圖如圖7所示。由圖可以看出, reset為1時,且start為1時,計費模塊開始計數(shù)。其中distancehigh代表高4位,distancelow代表低4位,當(dāng)distancelow計數(shù)記到3時,distance_enabe開始變成高電平。且當(dāng)distancelow記到9時,distancehigh開始由0變成1。3.2.4計時模塊圖8計時模塊此模塊的功能是計算出租車在暫停的過程中等待的時間。在出租車在等待過程中(即復(fù)位/啟動信號r

20、eset為1,行駛/停止信號start為0),在時鐘信號1hz的上升沿到來的時候,系統(tǒng)即對時間計數(shù)器time進行計數(shù)11,當(dāng)時間超過2分鐘(不包括2分鐘)時,系統(tǒng)將輸出正脈沖信號time_enable。計時模塊的方框圖如圖所示。圖9計時模塊仿真波形仿真的波形圖如上圖圖9所示。從波形圖可以看出,在clk的控制下,當(dāng)復(fù)位/啟動信號reset為1,行駛/停止信號start為0的時候計數(shù),此時time_enable為低電平。3.2.5計費模塊圖10計費模塊此模塊的功能是計算出租車的費用,根據(jù)行駛路程和等待時間計算費用。當(dāng)出租車停車時,時鐘select_clk用于將費用計數(shù)器復(fù)位為起步價6.0元;當(dāng)車處

21、于行駛狀態(tài)且滿3公里時,select_clk信號選擇distans_enable,此后路程每滿1公里,費用計數(shù)器加1元;當(dāng)出租車處于停止等待狀態(tài)且時鐘滿2分鐘,select_clk信號選擇time_enable信號,時間每滿1分鐘,費用計數(shù)器加1元。計費模塊的方框圖如圖10所示。圖11計費模塊仿真波形仿真的波形圖如圖11所示。由圖可以看出,剛開始費用顯示的是6元當(dāng)復(fù)位/啟動信號reset為1時,計費模塊開始計費。其中select_clk用于選擇是處于計時狀態(tài)還是處于計程狀態(tài)。因此當(dāng)select_clk為高電平時計費;當(dāng)select_clk為低電平時,停止計費。在整個計費過程中,clk為上升沿時

22、,開始工作。3.2.6控制模塊圖12控制模塊此模塊功能是用于為計費和計時模塊提供時鐘信號,當(dāng)start為0,time_able作用;當(dāng)start為1時,distance_able作用??刂颇K的方框圖如圖12所示。圖13控制模塊仿真波形仿真的波形圖如圖13所示。由圖可以看出,在start為高電平的前提下,當(dāng)distance_enable為高電平時,輸出信號select_clk為計程信號,當(dāng)time_enable為高電平時,輸出信號select_clk為計時信號,我們由圖中可以看出此時的仿真還是存在一定的誤差的。3.2.7數(shù)碼管顯示模塊圖14數(shù)碼管顯示模塊此模塊的功能是顯示計程、計時、計費的結(jié)果

23、。其中數(shù)碼管顯示分為靜態(tài)顯示和動態(tài)顯示。在fpga開發(fā)板上仿真時采用的是動態(tài)顯示,在硬件電路中采用的是動態(tài)顯示。當(dāng)時鐘信號時高電平時,reset是1時,該模塊將計程、計時、計費的結(jié)果顯示出來。數(shù)碼管顯示模塊的方框圖如圖14所示。3.2.8主控模塊圖15主控模塊此模塊是本次設(shè)計的頂層模塊,將各分模塊用是圖形方法連接起來,便可實現(xiàn)系統(tǒng)電路的功能。主控模塊的方框圖如圖15所示。4.結(jié)果仿真quartus9.0開發(fā)系統(tǒng)是altera 公司自行設(shè)計的一個完全集成化、易學(xué)易用的可編程邏輯設(shè)計環(huán)境。它具有原理圖輸入和文本輸入兩種輸入方式,利用該軟件所配的編譯、仿真、綜合、芯片編程功能,能將設(shè)計電路圖或電路描

24、述程序變成基本的邏輯單元寫入到可編程邏輯的芯片fpga中。quartus9.0軟件是用verilog hdl語言來編寫模塊的必備工具。設(shè)計中主要用altera 公司fpga來實現(xiàn)顯示,quartus9.0軟件支持altera公司不同結(jié)構(gòu)的可編程邏輯器件,能滿足用戶各種各樣的設(shè)計需要。4.1編譯在quartus9.0軟件菜單“processing”中選擇“start compilation”即可開始12,編譯成功后可以進行波形仿真。若出現(xiàn)錯誤,應(yīng)回到源程序查找原因,直到編譯通過。4.2管腳配置在quartus9.0環(huán)境下,先選擇器件。在“assignments”菜單下打開“device”窗口,在

25、“device family”對話框中,選擇cyclone,并選擇“available devices”中的ep20c8q208c8n,然后在菜單“assignments”下打開“pins”開始引腳分配,根據(jù)芯片管腳具體分配情況,對輸入輸出信號進行管腳配置。完成管腳配置后13,需重新編譯使之生效。其具體管腳配置情況如表1示。表1管腳配置信號名管腳號信號名管腳號信號名管腳號信號名管腳號clk_50m12dig391set076set583dig797dig289set177set684dig696dig187set278set785dig595dig086set381start71dig492r

26、eset75set4824.3程序下載上述通過上。本開發(fā)板用到的是altera公司的cyclone系列ep20c8q208c8n芯片,如圖16所示。具體方法如下: (1)將下載電纜一端插入開發(fā)板上的usb-blaster,另外一端插入到電腦中14,然編譯后利用一根導(dǎo)線,開始將程序下載到因侖實驗室的fpga開發(fā)板后打開開發(fā)板的電源。 (2) 從“tools”菜單下選擇“programmer”,在彈出的菜單中選擇“hardware setup”對話框,在“hardware type”下拉框中選擇“usb-blaster”,即可將程序下載到fpga的開發(fā)板上。在fpga開發(fā)板上進行系統(tǒng)仿真,并用開發(fā)

27、板上的撥碼開關(guān)k1、k2代替reset信號和start信號。結(jié)果由開發(fā)板上的2個數(shù)碼管進行動態(tài)顯示。圖16 fpga開發(fā)板5.硬件電路下面由硬件電路系統(tǒng)對本次設(shè)計進行驗證。硬件電路即本設(shè)計的外圍擴展電路,是本設(shè)計的重要環(huán)節(jié),和軟件設(shè)計部分一起共同完成設(shè)計的最終目標。在硬件電路設(shè)計的過程中需要用到fpga開發(fā)板、ep20c8q208c8n芯片1片、4個相連的7段共陽極數(shù)碼管2片、按鍵開關(guān)2個、單刀雙擲開關(guān)2個、4.7k的電阻9個、100的電阻8個、pnp三極管8個、npn三極管1個、蜂鳴器1個、導(dǎo)線若干,在protel99se的環(huán)境下所畫的電路原理圖如圖16所示。圖17 protel99se中的

28、電路原理圖在該圖中,ep20c8q208c8n芯片用插排器件con代替;通過2個四個相連的7段共陽極數(shù)碼管顯示輸出結(jié)果,其中數(shù)碼管顯示采用的是動態(tài)顯示;圖中共有4個開關(guān),其中兩個為單刀雙擲開關(guān),兩個為按鍵開關(guān),這四個開關(guān)所起的作用相同,都是用來控制start信號和reset信號,并通過黃色和綠色兩個發(fā)光二極管發(fā)光來顯示兩個信號處于正常工作狀態(tài);外圍電路還設(shè)計了一個蜂鳴器。6. 系統(tǒng)使用說明開發(fā)板提供的時鐘信號是50mhz,通過計數(shù)器分頻將時鐘信號變成1hz,然后提供給計程模塊和計時模塊;通過顯示分頻模塊將時鐘信號變成1khz的信號,然后提供給數(shù)碼管顯示模塊。通過reset信號和start信號來

29、控制計時和計費狀態(tài)。當(dāng)reset為低電平時,計費系統(tǒng)恢復(fù)為起步價六元。當(dāng)reset信號和start信號同時為高電平時,系統(tǒng)處于計程狀態(tài),在3公里之內(nèi)(包括3 公里),費用是6元不變,當(dāng)超過3公里時,每行駛一公里,費用加收一元。行駛的里程顯示范圍是099,費用顯示范圍是099元。當(dāng)reset信號為高電平同時start信號為低電平時,系統(tǒng)處于等待計時狀態(tài),在計時時間2分鐘之內(nèi)(包括2分鐘),費用不變,當(dāng)超過2分鐘時,每隔2分鐘,費用加收一元。出租車計費器的結(jié)果通過8個數(shù)碼管來動態(tài)顯示。結(jié)束語fpga芯片的成本越來越低,未來基于fpga平臺的出租車計費器會有更低的成本、更小的體積、更安全、更精確、多

30、功能15。本文基于fpga的出租車計費器設(shè)計,分別介紹了整個系統(tǒng)和各模塊的設(shè)計。使用fpga芯片、verilog hdl硬件描述語言、quartus9.0軟件和一些外圍電路,實現(xiàn)了軟件和硬件的設(shè)計制作。本文介紹的出租車計費器能夠?qū)崿F(xiàn)最基本的計時、計程、計費功能。通過將程序下載到fpga的開發(fā)板上,可以驗證所得的結(jié)果與預(yù)期的結(jié)果基本一致,并通過設(shè)計硬件外圍電路,更形象的仿真了出租車計費系統(tǒng)。如果將該設(shè)計再結(jié)合到實際應(yīng)用中,那么,只需改變設(shè)計中計費要求,就可以應(yīng)用到出租車上。另外,如果再任意輸入該出租車計價器的計費標準,那么,它的適用范圍可能就更廣泛了。但是由于本人知識水平有限,只是實現(xiàn)了出租車計

31、費系統(tǒng)最基本的計時、計程、計費功能,而且本次設(shè)計能夠計算的里程和費用范圍都是099,范圍比較小。在實際的出租車計費系統(tǒng)中還牽涉到不同的出租車的車輪并不完全相同,因此存在計程設(shè)定問題,密碼設(shè)定、超速報警、按鍵抖動等問題都需要考慮??梢哉f本設(shè)計只是完成了一個具備最基本功能的出租車計費器設(shè)計。但從某種角度來看,這種方法由于不涉及具體電路問題,設(shè)計簡單易懂,只用軟件仿真就可驗證其功能,可以為其他電子產(chǎn)品設(shè)計提供借鑒作用。參考文獻:1 張慧基于fpga的出租車計費系統(tǒng)設(shè)計山西電子技術(shù)j,2011(3):64-662 廖艷秋基于fpga的出租車計費器設(shè)計d 成都:電子科技大學(xué)碩士學(xué)位論文,2008: 42-453 曹公正,陳娟,張寶利,陳乾輝fpga在出租車計費器上的研究與設(shè)計j長春工業(yè)大學(xué)學(xué)報,2007(28):267-2704 侯寶強,劉和平fpga和cpld器件的特點與應(yīng)用電子與自動化j,2000(2):8-115 井海明,劉玉紅,劉永軍fpga技術(shù)及其與其他技術(shù)的綜合應(yīng)用j儀表技術(shù),2004(2):42-456 吳冬梅,吳延梅,鄧玉玖基于cpld/

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論