洗衣機(jī)控制器_第1頁
洗衣機(jī)控制器_第2頁
洗衣機(jī)控制器_第3頁
洗衣機(jī)控制器_第4頁
洗衣機(jī)控制器_第5頁
已閱讀5頁,還剩12頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、洗衣機(jī)控制器設(shè)計洗衣機(jī)控制器設(shè)計20162016實驗?zāi)康募叭蝿?wù)要求實驗?zāi)康募叭蝿?wù)要求 MORE THEN TEMPLATEMORE THEN TEMPLATE設(shè)計一個洗衣機(jī)洗滌程序控制器,控制洗衣機(jī)的電動機(jī)(LED閃爍代替)按下圖所示的規(guī)律運轉(zhuǎn):用兩位數(shù)碼管預(yù)置洗滌時間(分鐘數(shù)),洗滌過程在送入預(yù)置時間后開始運轉(zhuǎn),洗滌中按倒計時方式對洗滌過程作計時顯示,用LED表示電動機(jī)的正、反轉(zhuǎn),如果定時時間到,則停機(jī)蜂鳴器發(fā)出信號。 MORE THEN TEMPLATEMORE THEN TEMPLATE MORE THEN TEMPLATEMORE THEN TEMPLATE系統(tǒng)模塊設(shè)計:系統(tǒng)模塊設(shè)計:

2、 MORE THEN TEMPLATEMORE THEN TEMPLATE 預(yù)設(shè)時間和編碼電路(預(yù)設(shè)時間和編碼電路(settime):):接受用戶通過按鈕預(yù)置的時間信息,編碼成八位之后轉(zhuǎn)給減法計數(shù)器。time_input為通過開發(fā)板上按鈕輸入的信號,load為輸入確認(rèn)信號。本模塊將輸入的四位時間信息編碼輸出到減法計數(shù)器電路。loadevent是指信號load是否發(fā)生跳變,若發(fā)生了則返回ture,否則為假,load=1是跳變后load為高電平.合起來就是當(dāng)load信號的上升沿則. MORE THEN TEMPLATEMORE THEN TEMPLATE二、二、減法計數(shù)器電路(減法計數(shù)器電路(co

3、unter):):接收編碼之后的預(yù)置時間信息,向電機(jī)運轉(zhuǎn)控制電路傳遞運行信號,并將預(yù)置時間信息和剩余時間信息發(fā)給數(shù)碼管顯示電路進(jìn)行實時顯示。std_logic_vector是標(biāo)準(zhǔn)邏輯矢量,定義的是長度大于1的變量 MORE THEN TEMPLATEMORE THEN TEMPLATE減法計數(shù)器減法計數(shù)器本模塊中clk為系統(tǒng)時序脈沖信號,start為系統(tǒng)開始運行的信號,time_set為從預(yù)置時間模塊接收到的時間編碼信號,time_remain為輸出到數(shù)碼管顯示電路的時間信號,time_over為系統(tǒng)運行結(jié)束信號,可以用來控制蜂鳴器的通斷。BUFFER:一般比較少用,它實際上輸出的功能與out

4、一樣,他只有1個寄存器,而此時讀入的卻是上次從該口輸出的值 MORE THEN TEMPLATEMORE THEN TEMPLATE三、三、數(shù)碼管顯示電路(數(shù)碼管顯示電路(showtime):):接收減法計數(shù)器電路傳來的時間信息,進(jìn)行實時譯碼顯示。signal_choose用來轉(zhuǎn)換分位和秒位 MORE THEN TEMPLATEMORE THEN TEMPLATE MORE THEN TEMPLATEMORE THEN TEMPLATE為了進(jìn)行兩位的顯示,我們就設(shè)計了兩個小燈,每個小燈分別代表十位和個位,當(dāng)某個小燈被點亮?xí)r代表當(dāng)前顯示的是對應(yīng)位的數(shù)值,每個一秒轉(zhuǎn)換一次,這樣就可以實現(xiàn)兩位的顯示

5、了。四、四、電機(jī)運轉(zhuǎn)時序控制電路(電機(jī)運轉(zhuǎn)時序控制電路(analyse):):接收運行起止信號,安排電機(jī)運行狀態(tài)并編碼輸出。通過時鐘的輸入進(jìn)行計算當(dāng)前系統(tǒng)應(yīng)該處的狀態(tài),并進(jìn)行編碼輸出電機(jī)的運轉(zhuǎn)狀態(tài) MORE THEN TEMPLATEMORE THEN TEMPLATE MORE THEN TEMPLATEMORE THEN TEMPLATE五、五、譯碼器(譯碼器(move):):接收電機(jī)運行狀態(tài)信號,譯碼后實時控制電機(jī)的正傳、反轉(zhuǎn)和暫停。分析輸入的電機(jī)轉(zhuǎn)動編碼信號,即為思路中的Q1何Q2,安排電機(jī)運行狀態(tài)并進(jìn)行輸出 MORE THEN TEMPLATEMORE THEN TEMPLATE通過

6、波形可以看到time_input設(shè)定的值通過load讀入,start后,電機(jī)就會運轉(zhuǎn),同時數(shù)碼管進(jìn)行顯示,實現(xiàn)了預(yù)定的功能,而且對于minute和second的顯示也是正確的??倳r序仿真總時序仿真 MORE THEN TEMPLATEMORE THEN TEMPLATE實驗問題分析和經(jīng)驗總結(jié):實驗問題分析和經(jīng)驗總結(jié):關(guān)于這個洗衣機(jī)控制器,就是用模塊化層次化的設(shè)計方法進(jìn)行系統(tǒng)層的設(shè)計,這樣分解下來,設(shè)計會更容易點,思路也比較簡單。洗衣機(jī)控制器主要就只有三個狀態(tài),要實現(xiàn)幾種狀態(tài)的多次循環(huán)的改變,其他的還有計時和數(shù)碼顯示的功能,所以電路可以設(shè)計為大致五大部分:settime,counter,analyse,move,和showtime。通過每個模塊的設(shè)計最后組裝即可完成系統(tǒng)級的設(shè)計。在設(shè)計的時候,如果特別要注意各個模塊之間接口的設(shè)計,要是接口不對,模塊之間就沒法實現(xiàn)組裝。通過這次設(shè)計,對于VHDL的設(shè)計方法大致有了一些技巧性的了解,位以后的硬件設(shè)計打下了基礎(chǔ),對FPGA的編程、定時器和計數(shù)器的設(shè)計都熟悉起

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論