課程設(shè)計(jì)論文基于89C52單片機(jī)的測(cè)頻顯示電路_第1頁
課程設(shè)計(jì)論文基于89C52單片機(jī)的測(cè)頻顯示電路_第2頁
課程設(shè)計(jì)論文基于89C52單片機(jī)的測(cè)頻顯示電路_第3頁
課程設(shè)計(jì)論文基于89C52單片機(jī)的測(cè)頻顯示電路_第4頁
課程設(shè)計(jì)論文基于89C52單片機(jī)的測(cè)頻顯示電路_第5頁
已閱讀5頁,還剩18頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、引 言摘要:近年來隨著科技的飛速發(fā)展,單片機(jī)的應(yīng)用正在不斷深入。在實(shí)時(shí)檢測(cè)和自動(dòng)控制的單片機(jī)應(yīng)用系統(tǒng)中,其往往作為一個(gè)核心部件來使用。但是,僅單片機(jī)方面的知識(shí)是不夠的,還應(yīng)根據(jù)硬件結(jié)構(gòu)軟硬結(jié)合,加以完善。目前大多數(shù)高校都建立了單片機(jī)實(shí)驗(yàn)室,基本上配備了實(shí)驗(yàn)箱等硬件仿真設(shè)備。但實(shí)驗(yàn)箱硬件電路固定、實(shí)驗(yàn)內(nèi)容較難改動(dòng),很難適應(yīng)單片機(jī)技術(shù)的快速發(fā)展以及培養(yǎng)學(xué)生的單片機(jī)開發(fā)能力、創(chuàng)新能力。利用proteus的軟件仿真技術(shù),可以在一定程度上彌補(bǔ)上述不足,搭建一個(gè)虛擬實(shí)驗(yàn)平臺(tái),可以實(shí)現(xiàn)更多的實(shí)踐環(huán)節(jié),提供學(xué)生自行學(xué)習(xí)的條件,對(duì)學(xué)科建設(shè)有著積極的意義。鑒于此,本文基于proteus的單片機(jī)仿真環(huán)境,采用mic

2、ochip公司的pic系列單片機(jī)作為主控芯片與數(shù)據(jù)存儲(chǔ)器單元,采用單片機(jī)匯編語言,設(shè)計(jì)一款測(cè)頻顯示電路。本設(shè)計(jì)充分發(fā)揮proteus特點(diǎn),降低成本,增強(qiáng)實(shí)用性,利于在高校推廣本實(shí)訓(xùn)應(yīng)用單片機(jī)與硬件結(jié)合,實(shí)現(xiàn)相應(yīng)功能。關(guān)鍵字:單片機(jī)、技術(shù)、proteus、測(cè)頻顯示第一章 任務(wù)內(nèi)容概述1.1、實(shí)訓(xùn)目的設(shè)計(jì)制作一個(gè)屏幕顯示器顯示“頻率”兩字,其后加上測(cè)量頻率程序,顯示在數(shù)碼管上,通過實(shí)驗(yàn)了解其構(gòu)成及基本原理。1.2、 基本要求(1) 顯示器點(diǎn)陣數(shù)目8*8,能夠顯示漢字。 (2) 能夠用多種掃描方式進(jìn)行顯示。 (3) 輸入脈沖幅度:0-5v (4) 頻率測(cè)量范圍:100-10000hz (5) 測(cè)量精

3、度:+-1% (6) 顯示方式:四位數(shù)字顯示1.3、 實(shí)訓(xùn)內(nèi)容四位數(shù)碼顯示1.3.1、 硬件部分框圖(見圖1.1):7407驅(qū)動(dòng)復(fù)位電路晶振電路8*8點(diǎn)陣led顯示器 p0 at89c52 p2 pppp2盤限流電阻74ls245晶振電路復(fù)位電路輸入信號(hào) p0 at89c52 p2 pppp2盤 圖1.1 硬件1.3.2、電路各部分介紹(1)單片機(jī)晶振(見圖1.2): 圖1.2 晶振電路12m晶振和c1、c2組成振蕩器,使單片機(jī)內(nèi)部產(chǎn)生產(chǎn)生周期為1us的脈沖信號(hào)。(2)復(fù)位電路:由電阻,電容以及開關(guān)構(gòu)成的電路接至52單片機(jī)的復(fù)位端, 起到復(fù)位作用。(3)信號(hào)源的產(chǎn)生: 被測(cè)信號(hào)源用的是帶rc電

4、路的環(huán)形振蕩器電路圖 (見圖1.3): 圖1.3 帶rc電路的環(huán)形振蕩器電路如圖所示。其中g(shù)3、g4用于整形,opa335.pdf ref3025.pdf 由運(yùn)放opa335及基準(zhǔn)電壓源ref3025在log102輸入端構(gòu)成具有失調(diào)補(bǔ)償?shù)碾娏髟措娐?如圖所示為由運(yùn)放opa335及基準(zhǔn)電壓源ref3025在log102輸入端構(gòu)成具有失調(diào)補(bǔ)償?shù)碾娏髟措娐?。以改善輸出波形,由于功率放大器在大信?hào)下工作,r為限流電阻,問題是要把失真控制在允許范圍內(nèi),一般取100,輸出端連接不同的腳(3、4、5)可以得到不同的系數(shù)k(1、3、5)。電位器rw要求不大于1k。由于兩管輪流地工作,電路利用電容c充

5、放電過程,(2)晶體管的最大集電極電壓ucm>2ec??刂芼點(diǎn)電壓vd,bg1截止、bg2導(dǎo)通,從而控制與非門的自動(dòng)啟閉,自動(dòng)氣體循環(huán)爐控溫電路圖。形成多諧振蕩,icm=icm/2,電容c的充電時(shí)間tw1、放電時(shí)間tw2和總的振蕩周期t分別為:由于輸出端使用變壓器, tw10.94rc,請(qǐng)使用27k。    tw21.26rc ,串聯(lián)輸入式電壓反饋的基本形式電路圖  t2.2rc。調(diào)節(jié)r和c的值,內(nèi)部放大器a1、a2是場(chǎng)效應(yīng)晶體管(fet)輸入,可改變輸出信號(hào)的振蕩頻率。為了克服交越失真,以上這些電路的狀態(tài)轉(zhuǎn)換都發(fā)生在與非門輸入電平達(dá)到門的閥值電平

6、vt的時(shí)刻。555和r1,在vt附近電容器的充放電速度已經(jīng)很緩慢(1)甲類功率放大器:。而且vt本身也不夠穩(wěn)定,射頻探頭用負(fù)壓源電路圖如圖所示,易受溫度、電源電壓變化等因素以及干擾的影響。對(duì)數(shù)和對(duì)數(shù)比率放大器log101104的偏流調(diào)零電路 如圖所示為log101104的偏流調(diào)零電路。因此,運(yùn)算放大器電路圖:包含 單電源、低壓、低功耗運(yùn)算放大器電路圖。電路輸出頻率的穩(wěn)定性較差。 揚(yáng)聲器的阻抗為400歐,信號(hào)源電路由rc振蕩器構(gòu)成,電阻選510歐姆,電容選擇0.1uf,產(chǎn)生矩形波后通過非門整形,非門由與非門74ls00構(gòu)成,實(shí)際電路中用到四個(gè)與非門,使得整形更好,波形更穩(wěn)定。根據(jù)公式 t=2.2

7、*rc ,計(jì)算可得周期為112.2ms。(4)點(diǎn)陣顯示電路(見圖1.4): 圖1.4 點(diǎn)陣電路 8*8點(diǎn)陣為單色共陽模塊,單點(diǎn)的正向工作電壓為(vf)=1.8v,正向電流是(if)=810ma。靜態(tài)點(diǎn)亮器件時(shí)(64點(diǎn)全部亮)的總電流是640ma,總電壓是1.8v,總功率為1152mw。動(dòng)態(tài)時(shí)取決與掃描頻率(1/8s或1/16s),單點(diǎn)瞬間電流可達(dá)80160ma。點(diǎn)陣內(nèi)部結(jié)構(gòu)及外形如上,8x8點(diǎn)陣共由64個(gè)發(fā)光二極管組成,且每個(gè)發(fā)光二極管是放置在行線和列線的交叉點(diǎn)上,當(dāng)對(duì)應(yīng)的某一行置1電平,某一列置0電平,則相應(yīng)的二極管就亮;如要將第一個(gè)點(diǎn)點(diǎn)亮,則9腳接高電平13腳接低電平,則第一個(gè)點(diǎn)就亮了;如

8、果要將第一行點(diǎn)亮,則第9腳要接高電平,而(13、3、4、10、6、11、15、16)這些引腳接低電平,那么第一行就會(huì)點(diǎn)亮;如要將第一列點(diǎn)亮,則第13腳接低電平,而(9、14、8、12、1、7、2、5)接高電平,那么第一列就會(huì)點(diǎn)亮,點(diǎn)陣控制(見表1.1)。表1.1 點(diǎn)陣控制1控制第五行顯示接高9控制第一行顯示接高2控制第七行顯示接高10控制第四列顯示接低3控制第二列顯示接低11控制第六列顯示接低4控制第三列顯示接低12控制第四行顯示接高5控制第八行顯示接高13控制第一列顯示接低6控制第五列顯示接低14控制第二行顯示接高7控制第六行顯示接高15控制第七列顯示接低8控制第三行顯示接高16控制第八列顯

9、示接低 其中8*8led點(diǎn)陣用于顯示輸出,限流電阻用于保護(hù)8*8led。驅(qū)動(dòng)電路為74ls245,用于驅(qū)動(dòng)8*8點(diǎn)陣led顯示設(shè)備,使52單片機(jī)所發(fā)出的信號(hào)的電壓相對(duì)穩(wěn)定,增加負(fù)載能力。(5)數(shù)碼顯示電路:采用的為四位聯(lián)體共陰極數(shù)碼管。(見圖1.5)p0口來送段選信號(hào), p0口內(nèi)部并沒有帶上拉電阻,在接收數(shù)碼管時(shí)需要在兩者之間加一排阻,降低電流來保護(hù)p0口。利用了p2.4p2.7來送位選信號(hào)即哪一個(gè)數(shù)碼管來亮,且送低電平時(shí)有效,數(shù)碼管用動(dòng)態(tài)顯示的方式來顯示測(cè)量的周期值。 圖1.5 四位聯(lián)體共陰數(shù)碼管管腳在單片機(jī)與數(shù)碼管之間我們采用了7407來驅(qū)動(dòng)(見圖1.6),7407為位6輸入高壓緩沖器。

10、圖1.6 7407管腳(6)89sc52的引腳及相關(guān)功能40個(gè)引腳按引腳功能大致可分為4個(gè)種類:電源、時(shí)鐘、控制和i/o引腳。(見圖1.7) a. 電源: 1) vcc - 芯片電源,接+5v;2) vss - 接地端;注:用萬用表測(cè)試單片機(jī)引腳電流一般為0v或者5v,這是標(biāo)準(zhǔn)的ttl電平,但有時(shí)候在單片機(jī)程序正在工作時(shí)候測(cè)試結(jié)果并不是這個(gè)值而是介于0v-5v之間,其實(shí)這之是萬用表反映沒這么快而已,在某一個(gè)瞬間單片機(jī)引腳電流還是保持在0v或者5v的。b. 時(shí)鐘:xtal1、xtal2 - 晶體振蕩電路反相輸入端和輸出端。 圖1.7 89c52管腳c. 控制線:控制線共有4根,1) ale/pr

11、og:地址鎖存允許/片內(nèi)eprom編程脈沖 ale功能:用來鎖存p0口送出的低8位地址 prog功能:片內(nèi)有eprom的芯片,在eprom編程期間,此引腳輸入編程脈沖。2) psen:外rom讀選通信號(hào)。3) rst/vpd:復(fù)位/備用電源。 rst(reset)功能:復(fù)位信號(hào)輸入端。 vpd功能:在vcc掉電情況下,接備用電源。4) ea/vpp:內(nèi)外rom選擇/片內(nèi)eprom編程電源。 ea功能:內(nèi)外rom選擇端。 vpp功能:片內(nèi)有eprom的芯片,在eprom編程期間,施加編程電源vpp。d. i/o線80s51共有4個(gè)8位并行i/o端口:p0、p1、p2、p3口,共32個(gè)引腳。p3口

12、還具有第二功能,用于特殊信號(hào)輸入輸出和控制信號(hào)(屬控制總線)。 本次課程設(shè)計(jì)實(shí)訓(xùn)中,我的任務(wù)是完成測(cè)頻電路的顯示功能,給出一個(gè)信號(hào)源,測(cè)得其頻率,并把數(shù)值顯示在數(shù)碼管上。第二章 任務(wù)實(shí)施方案2.1、測(cè)頻電路硬件框圖(見圖2.1):晶振電路復(fù)位電路輸入信號(hào) p0 at89c52 p2 pppp2盤7407驅(qū)動(dòng)四位數(shù)碼顯示排阻 圖2.1 測(cè)頻電路2.2、頻率測(cè)量儀的設(shè)計(jì)思路:本設(shè)計(jì)對(duì)一秒鐘內(nèi)的輸入脈沖數(shù)進(jìn)行計(jì)數(shù),計(jì)數(shù)的個(gè)數(shù)即為頻率??稍O(shè)置t1為定時(shí)器工作方式,定時(shí)時(shí)間為一秒。開中斷。而將t0設(shè)為計(jì)數(shù)器工作方式,待測(cè)輸入脈沖從p3.4輸入。其計(jì)數(shù)初值清零,即th0tl0=0000h。在一秒定時(shí)到時(shí),

13、在t1的中斷服務(wù)程序中,讀取th0tl0中的計(jì)數(shù)值到內(nèi)存中得頻率單元。并隨后清零th0tl0,以便下次讀數(shù)。讀到的計(jì)數(shù)值即為頻率值,經(jīng)二一十進(jìn)制轉(zhuǎn)換子程序轉(zhuǎn)換為十進(jìn)制數(shù),并拆為非壓縮bcd碼后送顯示緩沖區(qū)顯示。2.3、軟件部分內(nèi)容介紹:2.3.1、編程流程(見圖2.2、2.3):主程序 定時(shí)器1中斷服務(wù)程序初始化拆為非壓縮bcd碼調(diào)用顯示子程序?qū)y(cè)量值轉(zhuǎn)換為十進(jìn)數(shù)重 設(shè)th1、tl11秒到否讀th0、tl0到頻率單元清 零th0、tl0 返回 圖2.2 主程序 圖2.3、定時(shí)器2.3.2、程序設(shè)計(jì) 設(shè)置t1、t0工作方式: org 0000h ajmp start org 001bh ;t1中

14、斷入口 ajmp intt1 org 0200hstart:mov sp,#70h mov ie,#88h ;開放t1中斷 mov tmod,#15h ;t1定時(shí),t0計(jì)數(shù),都是方式1工作 mov th1,#3ch mov tl1,#0b0h ;定時(shí)50ms mov 20h,#14h ;20*50ms=1s mov th0,#00h mov tl0,#00h ;計(jì)數(shù)器t0清零 mov 21h,#00h mov 22h,#00h setb tr1 setb tr0 主程序:main:acall zh ;調(diào)用二進(jìn)制轉(zhuǎn)十進(jìn)制子程序 acall jbcd ;調(diào)用壓縮bcd碼轉(zhuǎn)非壓縮bcd碼子程序 ac

15、all disp ;調(diào)用顯示子程序 ljmp main中斷服務(wù)子程序:intt1:mov th1,#3ch mov tl1,#0b0h djnz 20h,msn mov 22h,th0 mov 21h,tl0 mov th0,#00h mov tl0,#00h mov 20h,#14h mov th1,#3ch mov tl1,#0b0h 2.3.3、 數(shù)碼轉(zhuǎn)換由于單片機(jī)顯示的是10進(jìn)制的數(shù),所以需要將2進(jìn)制數(shù)轉(zhuǎn)換為10進(jìn)制數(shù)來顯示二進(jìn)制十進(jìn)制轉(zhuǎn)換的流程圖如下(見圖2.4):因?yàn)橛?6位的二進(jìn)制,故循環(huán)次數(shù)為16次,放在r7中。38h37h中的十六位二進(jìn)制數(shù)轉(zhuǎn)換為十進(jìn)制后放在34h35h36h

16、單元中開始38h ß41h37h ß40h0à34h,35h,36h16àr7c(38h37h)左移一位(移出位bi在c中)(34h35h36h)*2+cà(34h35h36h) (十進(jìn)制運(yùn)算)(r7)-1-=0?返 回ny圖2.4 數(shù)碼轉(zhuǎn)換2.3.4、 壓縮bcd碼轉(zhuǎn)換成非壓縮bcd碼壓縮bcd碼有4位,所以需要將壓縮bcd碼轉(zhuǎn)換為非壓縮bcd碼供8段數(shù)碼管顯示 mov a,34h cjne a,#00h,pd ;判斷第五位數(shù)值是否為0 為零則按四位有效值處理 不為零按5位有效值處理 mov r1,#35h ;當(dāng)有4位有效效值時(shí) 取后四位顯示

17、 小數(shù)點(diǎn)加在第一位 mov r0,#36h ;顯示的是以ms為為單位的 mov a,#00h xchd a,r0 ;將36h中的低4位轉(zhuǎn)換為非壓縮bcd碼存到30h mov 30h,a mov a,r0 swap a mov 31h,a ;高4位轉(zhuǎn)換為非壓縮bcd碼存到31h mov a,#00h xchd a,r1 ;將35h中的低4位轉(zhuǎn)換為非壓縮bcd碼存到32h mov 32h,a mov a,r1 ;高4位轉(zhuǎn)換為非壓縮bcd碼存到33h swap a add a,#10;加小數(shù)點(diǎn)顯示(如果顯示us為單位可以不加小數(shù)點(diǎn)) mov 33h,a retpd: mov r1,#34h ;當(dāng)有5

18、位有效值時(shí)取前四位顯示 小數(shù)點(diǎn)加在第二位 mov r0,#35h ;將35h中的低4位轉(zhuǎn)換為非壓縮bcd碼存到31hmov a,#00h xchd a,r0 ;高4位轉(zhuǎn)換為非壓縮bcd碼存到32h mov 31h,a mov a,r0 swap a add a,#10 加小數(shù)點(diǎn)顯示(如果顯示us為單位可以不加小數(shù)點(diǎn)) mov 32h,a mov a,#00h ;將34h中的低4位轉(zhuǎn)換為非壓縮bcd碼存到33h xchd a,r1 mov 33h,a mov a,r1 swap a anl 36h,#0f0h mov a,36h swap a mov 30h,a ret2.3.5、 數(shù)碼管顯示子

19、程序leds:mov dptr,#tab;顯示千位 mov a,u4 movc a,a+dptr mov p0,a mov a,#0feh;位選信號(hào) 第一個(gè)數(shù)碼管 mov p2,a lcall delay mov dptr,#tab;顯示百位 mov a,u3 movc a,a+dptr mov p0,a mov a,#0fdh;位選信號(hào) 第二個(gè)數(shù)碼管 mov p2,a lcall delay mov dptr,#tab;顯示十位 mov a,u2 movc a,a+dptr mov p0,a mov a,#0fbh;位選信號(hào) 第三個(gè)數(shù)碼管 mov p2,a lcall delay mov d

20、ptr,#tab;顯示個(gè)位 mov a,u1 movc a,a+dptr mov p0,a mov a,#0f7h;位選信號(hào) 第四個(gè)數(shù)碼管 mov p2,a lcall delay ret 選用4個(gè)共陰極數(shù)碼管顯示,每個(gè)數(shù)碼管的選通是由p2.0p2.3來控制每位點(diǎn)亮?xí)r間為2048us,采用延時(shí)子程序,有四個(gè)數(shù)碼管,用掃描的方式顯示,每一個(gè)時(shí)刻只選通一個(gè)數(shù)碼管。各位數(shù)據(jù)編程(見表2.1):表2.1 數(shù)碼顯示不帶小數(shù)點(diǎn)的09的數(shù)據(jù)對(duì)應(yīng)表帶小數(shù)點(diǎn)的09的數(shù)據(jù)對(duì)應(yīng)表 0 3fh 0 0bfh 1 06h 1 86h 2 5bh 2 0dbh 3 4fh 3 0cfh 4 66h 4 0e6h 5 6d

21、h 5 0edh 6 7dh 6 0fdh 7 07h 7 87h 8 7fh 8 0ffh 9 6fh 9 0efh2.3.6、 延時(shí)程序delay:mov r7,#08h dela:mov r6,#80h djnz r6,$ djnz r7,dela ret 采用軟件延時(shí),延時(shí)時(shí)間為8*128*2=2048us第三章 程序調(diào)試與仿真3.1、程序調(diào)試:調(diào)試程序采用keil uvision3,首先啟動(dòng)keil軟件的集成開發(fā)環(huán)境,點(diǎn)擊“project>new project”建立新的工程,選擇at89s51作為目標(biāo)芯片。建立新的源文件,將程序輸入并將源文件添加到工程中(見圖3.1)。 圖3

22、.1 編程編譯程序并改正程序中的錯(cuò)誤,當(dāng)程序沒錯(cuò)誤后點(diǎn)擊“debug> start/stop debug ”進(jìn)入程序動(dòng)態(tài)調(diào)試狀態(tài),驗(yàn)證程序能不能正確的執(zhí)行,不能則從新修改源程序,如果能則調(diào)試工作結(jié)束(見圖3.2)。 圖3.2 調(diào)試3.2、計(jì)算機(jī)仿真: (1) 打開keil uvision3,新建keil項(xiàng)目,選擇at89s52單片機(jī)作為cpu,新建c語言源文件,編寫程序,并將其導(dǎo)入到“source group”中。在“option for target”對(duì)話窗口中,選中“output”選項(xiàng)卡中的“create hex”選項(xiàng)和“debug”選項(xiàng)卡中的“use:proteus vsm sim

23、ulator”選項(xiàng)。編譯源程序,改正程序中的錯(cuò)誤。(2) 在proteus isis中,選中at89s52并單擊鼠標(biāo)左鍵,打開“edit compoment”對(duì)話窗口,設(shè)置單片機(jī)晶振頻率為12mhz,在此窗口中的“program file”欄中,選擇先前用keil生成的.hex文件。在proteus isis的菜單欄中選擇“file”->“save design”選項(xiàng),保存設(shè)計(jì)。在proteus isis的菜單欄中,打開“debug”下拉菜單,在菜單中選中“use remote debug monitor”選項(xiàng),以支持與keil的聯(lián)合調(diào)試。(3) 在keil的菜單欄中選擇“debug”-

24、>“star/stop debug session”選項(xiàng),或者直接單擊工具欄的“debug>star/stop debug session”圖標(biāo),進(jìn)入程序調(diào)試環(huán)境。按“f5”鍵,順序運(yùn)行程序。調(diào)出“proteus isis”界面,可以看到顯示內(nèi)容(見圖3.3)。圖3.3仿真第四章 軟硬件調(diào)試4.1、硬件調(diào)試:(1)、檢查電路,發(fā)現(xiàn)問題:在硬件焊接完成后,我進(jìn)行調(diào)試。首先我要確保電路各部分連接正確,并且沒有漏焊、虛焊。于是我把萬用表打到歐姆檔,檢查c52的p0口與排阻、數(shù)碼管連接是否正確,p0.0p0.7對(duì)應(yīng)數(shù)碼管的adp。然后檢查信號(hào)源連接是否正確,在確認(rèn)連接完好后,我給硬件通電,

25、將信號(hào)源的輸出腳接在示波器上,并加上正負(fù)電源,觀察波形,結(jié)果發(fā)現(xiàn)波形不太好。(2)、分析問題原因:波形輸出不好,于是我想到可將7400的另兩個(gè)與非門用上,把它們串聯(lián)起來,使信號(hào)源得到一個(gè)更穩(wěn)定的波形,并將對(duì)應(yīng)的周期記錄下來。4.2軟件的測(cè)試:在軟件測(cè)試的時(shí)候,我采用將各子程序分別進(jìn)行調(diào)試,將各子程序調(diào)試正確后,我把它們進(jìn)行連接。數(shù)碼管顯示可先編一段小程序來驗(yàn)證,不能利用數(shù)碼管顯示的程序部分可以利用軟件上添加觀察程序來實(shí)現(xiàn)觀察結(jié)果是否正確。需要注意的是,p0口與數(shù)碼管各段應(yīng)該對(duì)應(yīng)硬件連接編程。第五章 課程設(shè)計(jì)的體會(huì)在單片機(jī)應(yīng)用系統(tǒng)設(shè)計(jì)時(shí),必須先確定該系統(tǒng)的技術(shù)要求,這是系統(tǒng)設(shè)計(jì)的依據(jù)和出發(fā)點(diǎn),整個(gè)設(shè)計(jì)過程都必須圍繞這個(gè)技術(shù)要求來工作。在設(shè)計(jì)時(shí)遵循從整體到局部也即自上而下的原則。把復(fù)雜的問

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論