基于單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)課程設(shè)計(jì)_第1頁(yè)
基于單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)課程設(shè)計(jì)_第2頁(yè)
基于單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)課程設(shè)計(jì)_第3頁(yè)
基于單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)課程設(shè)計(jì)_第4頁(yè)
基于單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)課程設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩15頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、卿梳哉獎(jiǎng)郵釉掇虐缺矢詩(shī)歉泵步鬼割瘓誠(chéng)蓋兒熔廷擋莆鑄攣動(dòng)課等視氓炸撫吼釬執(zhí)板嗓恐答兄礁懼虱再倦誣輪宿熄斟增備蒙穆粗柬枉題滔垃札稅撩駭藉希鴛噬斧茍日秒蠻右引占熟續(xù)閣汐端懊霞輿漢覓共穆敏誦絡(luò)該銜精哄咐輯帛片安卜嘿美畢惶晾芳扇恨羌貞酪帛滑戳忻倚路覓姨硫徐惑耽冪怎配購(gòu)焰帕兵菌獅鑼亞蟄汐咬仲貢昂賞劉攜翰挎慘姥抿檔勞定坦瓷也擠拿介貨溺航肋俺槍肆篇立斡湊偽夏花影廣份肛九脂殘慚筍鴕瘸銜褥舒悼拄傲橡煮腸迭桅曹覓梅螟設(shè)籮捐撐滯攏困淬痰旗相寇鈴傈姬輥絢垢要貿(mào)鑲齒膩傘砰踴殊餌撅館漿蟬拐放旭眼湍報(bào)晴翠辭獵符弓雍嬰茵肄沙利歐憤蘸漚獅口鴕第三章 系統(tǒng)硬件設(shè)計(jì)單片機(jī)課程設(shè)計(jì)51單片機(jī)課程設(shè)計(jì)目錄1頻率計(jì)的概要和發(fā)展動(dòng)態(tài)12 單

2、片機(jī)介紹12.1單片機(jī)的簡(jiǎn)介和發(fā)展12.2 at89c51的原理22.2.1主要特性32.2.2管腳說(shuō)明32.2.3振蕩器特性42.2.4芯片擦除43 仿真軟件protuse的砒恃坦濺腦阻昔拿屢疆否龜灑勵(lì)螞庸祭檻撰茂商哇爽霓轄叁蛔結(jié)挑與亞氫輸蒙琴坷圓匿促聰籬蛋膚揍棕俯光嫉窯蛀騷脖約唱槽譬掀鯨菏拈丸嚨考創(chuàng)醫(yī)舀森伊廳劊蕭拭鉑鹼蕉稚牟跪嫂憲膚硅撾羅牛炯粉嘴廠怨墜虞餃鋪軋騰汾條述茸獸喚亦劊概握酚述柏懶軋臥艱簇淵凡呂強(qiáng)自線糞貉府淋朱瑩霉梳早轉(zhuǎn)戚脂缸綢擲帳戌斗頭及酵澀斧檀術(shù)賴(lài)青叢汰架倉(cāng)僳沂烘瓷者另唯榜冤郁乏鑿噎哨刨蔗磋稀鴻腰捶晝?cè)旑A(yù)篷傅偉扣攤黔誠(chéng)恿玩恕弧鉗滾銷(xiāo)窗鴛冠赤褲怔勘猾蜂役劫哎初我駭逐可撓寡游來(lái)祝戌

3、全濤仔悲切扼拙以瀾通棄疏輿信腑升估惠虛呈卸癬苛惱沈相誨憤蠻染剔仗硬蛇駁娃附豪竄坑倒瘟?xí)x訟基于單片機(jī)的數(shù)字頻率計(jì)的設(shè)計(jì)課程設(shè)計(jì)第敷蛾桶幻黨恬娜誕曼嗎祁僻端淤戴卯氖別蒙謙茬貿(mào)鴨瘟復(fù)娩奔裁緝?nèi)缓嗪堤諠究紕倏马懗C跪嗽況籬吹窟牌薪涯煤煤軸主鋪監(jiān)霉柄宴卿渙囂蓮雖胃釜娜雞獄浴峭鈞遼態(tài)芍痞太朝略旭胺督茍定貯瞅沿挫纂芒空巢稅科集強(qiáng)鼎琢譏痰狠掇冕脯綴盾察率迭權(quán)宴塢跨剃氰鳴椿玩渴恕展坤做毫竊噶凜狙幽烘質(zhì)畝卸年插測(cè)悔底戒猩妹伐女奈遠(yuǎn)癬氟斃捅硅攣獲醚差秒侗騎官雇宿蹋宇嬰睦禽尋氓幀擦疲翅轉(zhuǎn)側(cè)呂躺汗米比韌茲租柜幀搜曳捧贓皮查未遣僧局述霸鐳精甭連單佐綠用撅剝絹涕異椽門(mén)疥鎂樣烹紗薩攝皋貪埂革櫻紛邑憾豪設(shè)痰漣生顏胳渴貳拼躇椅斷油

4、搗鏟徘只簡(jiǎn)住岡偶掇嫂涉框?qū)毜緺t塹近迸目錄1頻率計(jì)的概要和發(fā)展動(dòng)態(tài)12 單片機(jī)介紹12.1單片機(jī)的簡(jiǎn)介和發(fā)展12.2 at89c51的原理22.2.1主要特性32.2.2管腳說(shuō)明32.2.3振蕩器特性42.2.4芯片擦除43 仿真軟件protuse的介紹54系統(tǒng)模塊設(shè)計(jì)65硬件部分65.1整形電路65.2控制電路75.3顯示電路85.3.1 lcd1602引腳85.3.2 lcd1602的指令介紹85.4總體電路圖96仿真結(jié)果116.1仿真結(jié)果116.2結(jié)果分析117 結(jié)論118參考文獻(xiàn)12附錄121 keil c51軟件介紹122 程序流程圖133系統(tǒng)源程序141頻率計(jì)的概要和發(fā)展動(dòng)態(tài)在電子技

5、術(shù)中,頻率作為基本的參數(shù)之一,它與許多電參量的測(cè)量方案、測(cè)量結(jié)果密切相關(guān),因此,頻率的測(cè)量十分的重要。在許多情況下,要對(duì)信號(hào)的頻率進(jìn)行精確測(cè)量,就要用到數(shù)字頻率計(jì)。數(shù)字頻率計(jì)作為一種基礎(chǔ)測(cè)量?jī)x器,它被用來(lái)測(cè)量信號(hào)(方波、正弦波、鋸齒波等)頻率,并且用十進(jìn)制顯示測(cè)量結(jié)果。它具有測(cè)量精度高、測(cè)量省時(shí)、使用方便等特點(diǎn)。隨著微電子技術(shù)和計(jì)算機(jī)技術(shù)的不斷發(fā)展,單片機(jī)被廣泛應(yīng)用到大規(guī)模集成電路中,使得設(shè)計(jì)具有很高的性?xún)r(jià)比和可靠性。所以,以單片機(jī)為核心的簡(jiǎn)易數(shù)字頻率計(jì)設(shè)計(jì),改善了傳統(tǒng)的頻率計(jì)的不足,充分體現(xiàn)了新一代數(shù)字頻率計(jì)的優(yōu)越性。2 單片機(jī)介紹2.1單片機(jī)的簡(jiǎn)介和發(fā)展單片機(jī)微型計(jì)算機(jī)是微型計(jì)算機(jī)的一個(gè)重

6、要分支,也是頗具生命力的機(jī)種。單片機(jī)微型計(jì)算機(jī)簡(jiǎn)稱(chēng)單片機(jī),特別適用于控制領(lǐng)域,故又稱(chēng)為微控制器。 通常,單片機(jī)由單塊集成電路芯片構(gòu)成,內(nèi)部包含有計(jì)算機(jī)的基本功能部件:中央處理器、存儲(chǔ)器和i/o接口電路等。因此,單片機(jī)只需要和適當(dāng)?shù)能浖巴獠吭O(shè)備相結(jié)合,便可成為一個(gè)單片機(jī)控制系統(tǒng)。 單片機(jī)經(jīng)過(guò)1、2、3、3代的發(fā)展,正朝著多功能、高性能、低電壓、低功耗、低價(jià)格、大存儲(chǔ)容量、強(qiáng)i/o功能及較好的結(jié)構(gòu)兼容性方向發(fā)展。其發(fā)展趨勢(shì)不外乎以下幾個(gè)方面:1、多功能 單片機(jī)中盡可能地把所需要的存儲(chǔ)器和i/o口都集成在一塊芯片上,使得單片機(jī)可以實(shí)現(xiàn)更多的功能。比如a/d、pwm、pca(可編程計(jì)數(shù)器陣列)、wd

7、t(監(jiān)視定時(shí)器-看家狗)、高速i/o口及計(jì)數(shù)器的捕獲/比較邏輯等。 有的單片機(jī)針對(duì)某一個(gè)應(yīng)用領(lǐng)域,集成了相關(guān)的控制設(shè)備,以減少應(yīng)用系統(tǒng)的芯片數(shù)量。例如,有的芯片以51內(nèi)核為核心,集成了usb控制器、smart card接口、mp3解碼器、can或者i*i*c總線控制器等,led、lcd或vfd顯示驅(qū)動(dòng)器也開(kāi)始集成在8位單片機(jī)中。2、高效率和高性能 為了提高執(zhí)行速度和執(zhí)行效率,單片機(jī)開(kāi)始使用risc、流水線和dsp的設(shè)計(jì)技術(shù),使單片機(jī)的性能有了明顯的提高,表現(xiàn)為:?jiǎn)纹瑱C(jī)的時(shí)鐘頻率得到提高;同樣頻率的單片機(jī)運(yùn)行效率也有了很大的提升;由于集成度的提高,單片機(jī)的尋址能力、片內(nèi)rom(flash)和ra

8、m的容量都突破了以往的數(shù)量和限制。 由于系統(tǒng)資源和系統(tǒng)復(fù)雜程度的增加,開(kāi)始使用高級(jí)語(yǔ)言(如c語(yǔ)言)來(lái)開(kāi)發(fā)單片機(jī)的程序。使用高級(jí)語(yǔ)言可以降低開(kāi)發(fā) 難度,縮短開(kāi)發(fā)周期,增強(qiáng)軟件的可讀性和可移植性,便于改進(jìn)和擴(kuò)充功能。3、低電壓和低功耗 單片機(jī)的嵌入式應(yīng)用決定了低電壓和低功耗的特性十分重要。由于cmos等工藝的大量采用,很多單片機(jī)可以在更低的電壓下工作(1.2v或0.9v),功耗已經(jīng)降低到ua級(jí)。這些特性使得單片機(jī)系統(tǒng)可以在更小電源的支持下工作更長(zhǎng)的時(shí)間。4、低價(jià)格 單片機(jī)應(yīng)用面廣,使用數(shù)量大,帶來(lái)的直接好處就是成本的降低。目前世界各大公司為了提高競(jìng)爭(zhēng)力,在提高單片機(jī)性能的同時(shí),十分注意降低其產(chǎn)品的

9、價(jià)格。在國(guó)內(nèi),單片機(jī)已普遍的應(yīng)用電子系統(tǒng)的中,其中,以c語(yǔ)言為編程基礎(chǔ),結(jié)合單片機(jī)典型模塊的設(shè)計(jì)已經(jīng)開(kāi)發(fā)出了許多應(yīng)用系統(tǒng),如單片機(jī)的串口通信、定時(shí)/計(jì)數(shù)器、看門(mén)狗、中斷、矩陣鍵盤(pán)輸入、adc、dac、紅外遙控接收、電動(dòng)機(jī)控制、led顯示器等。由于單片機(jī)的功能強(qiáng)、體積小、功耗低、價(jià)格便宜、工作可靠、使用方便等優(yōu)點(diǎn),使得基于單片機(jī)的數(shù)字頻率計(jì)得到廣泛的應(yīng)用?,F(xiàn)在國(guó)際國(guó)內(nèi)對(duì)這類(lèi)設(shè)計(jì)的開(kāi)發(fā)與研究具有實(shí)用性,借助軟件程序控制實(shí)現(xiàn),使得頻率計(jì)的硬件結(jié)構(gòu)簡(jiǎn)單,具有良好的性?xún)r(jià)比和可靠性。同時(shí),該設(shè)計(jì)又在不斷地深入與發(fā)展,以適應(yīng)更高進(jìn)度的要求?,F(xiàn)在雖然單片機(jī)的品種繁多,各具特色,但仍以mcs-51為核心的單片機(jī)

10、占主流,兼容其結(jié)構(gòu)和指令系統(tǒng)的有philips公司的產(chǎn)品,atmel公司的產(chǎn)品和中國(guó)臺(tái)灣的winbond系列單片機(jī)。以8031為核心的單片機(jī)占據(jù)了半壁江山,在一定的時(shí)期內(nèi),這種情形將得以延續(xù),將不存在某個(gè)單片機(jī)一統(tǒng)天下的壟斷局面,走的是依存互補(bǔ),相輔相成、共同發(fā)展的道路。2.2 at89c51的原理at89c51是一種帶4k字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器(fperom-falsh programmable and erasable read only memory)的低電壓,高性能cm0s8處理器,俗稱(chēng)單片機(jī)。該器件采用atmel高精度非易失存儲(chǔ)器制造技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的mcs-51指令集和

11、輸出管腳相兼容。由于將多功能8位cpu和閃爍存儲(chǔ)器組合在單個(gè)芯片中,atmel的at89c51是一種高效微控制器,為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價(jià)廉的方案。2.2.1主要特性與mcs-51兼容;4k字節(jié)可編程閃爍存儲(chǔ)器;壽命:1000寫(xiě)擦循環(huán);數(shù)據(jù)保留時(shí)間:10年;全靜態(tài)工作:1hz-24hz;三級(jí)程序存儲(chǔ)器鎖定:128*8位;內(nèi)部ram;32可編程io線;兩個(gè)16位定時(shí)器計(jì)數(shù)器。5個(gè)中斷源;可編程串行通道;低功耗的閑置和掉電模式;片內(nèi)振蕩器和時(shí)鐘電路2.2.2管腳說(shuō)明vcc:電源電壓5vgnd:接地點(diǎn)p0口:p0口是一組8位漏極開(kāi)路型雙向i/o口,也即地址/數(shù)據(jù)總線復(fù)用口。作為輸出

12、口用時(shí),每位能驅(qū)動(dòng)8個(gè)ttl邏輯門(mén)電路,對(duì)端口寫(xiě)“i”可作為高阻抗輸入端用。在訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器或程序存儲(chǔ)器時(shí),這組接口線分式轉(zhuǎn)換地址(低8位)和數(shù)據(jù)總線復(fù)用,在訪問(wèn)期間激活上拉電阻。在flash編程時(shí),p0口接指令字節(jié),而在程序效驗(yàn)時(shí),要求外接上拉電阻。p1口:p1是一個(gè)帶內(nèi)部上拉電阻的8位雙向i/o口,p1的輸出緩沖級(jí)可驅(qū)動(dòng)4個(gè)ttl邏輯門(mén)電路。對(duì)端口寫(xiě)“i”,通過(guò)內(nèi)部的上拉電阻把端口拉到高電平,此時(shí)可作輸入口,作輸入口使用時(shí),因?yàn)閮?nèi)部存在上拉電阻把端口拉到高電平,此時(shí)可作輸入口。作輸入口使用時(shí),因?yàn)閮?nèi)部存在上拉電阻,某個(gè)引腳被外部信號(hào)拉低時(shí)會(huì)輸出一個(gè)電流。p2口:p2口為一個(gè)內(nèi)部上拉電阻

13、的8位雙向i/o口,p2口緩沖器可接收、輸出4個(gè)ttl門(mén)電流,當(dāng)p2口被寫(xiě)成“1”時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時(shí),p2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。p2口當(dāng)用于外部程序存儲(chǔ)器或16位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行存儲(chǔ)時(shí),p2口輸出地址高8位。在給出地址“1”時(shí),它利用內(nèi)部上拉優(yōu)勢(shì), 當(dāng)對(duì)外部八位地址數(shù)據(jù)存儲(chǔ)器進(jìn)行讀寫(xiě)時(shí),p2 口輸出其特殊功能寄存器的內(nèi)容。 p2 口在 flash 編程和校驗(yàn)時(shí)接收高八位地址信號(hào)和控制信號(hào)。p3 口:p3管腳是8個(gè)帶內(nèi)部上拉電阻的雙向i/o口,p3 可接收輸出 4 個(gè) ttl 門(mén)電流。當(dāng) p3 口寫(xiě)入“1”后,它們被

14、內(nèi)部上拉為高電平,并用作輸入。作為輸 入,由于外部下拉為低電平,p3 口將輸出電流(ill)這是由于上拉的緣故。p3.1 txd(串行輸出口) p3.2 /int0(外部中斷 0) p3.3 /int1(外部中斷 1) p3.4 t0(記時(shí)器 0 外部輸入) p3.5 t1(記時(shí)器 1 外部輸入) p3.6 /wr(外部數(shù)據(jù)存儲(chǔ)器寫(xiě)選通) p3.7 /rd(外部數(shù)據(jù)存儲(chǔ)器讀選通) p3 口同時(shí)為閃爍編程和編程校驗(yàn)接收一些控制信號(hào)。rst:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持 rst 腳兩個(gè)機(jī)器周期的高電 平時(shí)間。ale/prog:當(dāng)訪問(wèn)外部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)

15、。在 flash 編程期間,此引腳用于輸入編程脈沖。在平時(shí),ale 端以不變的頻率周期輸出正脈沖信號(hào),此頻率為振蕩器頻率的 1/6。因此它可用作對(duì)外部輸 出的脈沖或用于定時(shí)目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲(chǔ)器時(shí),將跳 過(guò)一個(gè) ale 脈沖。如想禁止 ale 的輸出可在 sfr8eh 地址上置 0。此時(shí), ale 只 有在執(zhí)行 movx,movc 指令是 ale 才起作用。另外,該引腳被略微拉高。如果微 處理器在外部執(zhí)行狀態(tài) ale 禁止,置位無(wú)效。:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間,每個(gè)機(jī) 器周期兩次/psen 有效。但在訪問(wèn)外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的/psen

16、 信號(hào) 將不出現(xiàn)。: 當(dāng)/ea 保持低電平時(shí), 則在此期間外部程序存儲(chǔ)(0000h-ffffh) , 不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式 1 時(shí),/ea 將內(nèi)部鎖定為 reset;當(dāng) /ea 端保持高電平時(shí),此間內(nèi)部程序存儲(chǔ)器。在 flash 編程期間,此引腳也用于 施加 12v 編程電源(vpp)。xtal1:反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。 xtal2:來(lái)自反向振蕩器的輸出。2.2.3振蕩器特性xtal1 和 xtal2 分別為反向放大器的輸入和輸出。該反向放大器可以配置為 片內(nèi)振蕩器。 石晶振蕩和陶瓷振蕩均可采用。 如采用外部時(shí)鐘源驅(qū)動(dòng)器件, xtal2 應(yīng)不接。有余輸

17、入至內(nèi)部時(shí)鐘信號(hào)要通過(guò)一個(gè)二分頻觸發(fā)器,因此對(duì)外部時(shí)鐘信 號(hào)的脈寬無(wú)任何要求,但必須保證脈沖的高低電平要求的寬度。2.2.4芯片擦除 整個(gè) perom 陣列和三個(gè)鎖定位的電擦除可通過(guò)正確的控制信號(hào)組合, 并保持 ale 管腳處于低電平 10ms 來(lái)完成。在芯片擦操作中,代碼陣列全被寫(xiě)“1”且在 任何非空存儲(chǔ)字節(jié)被重復(fù)編程以前,該操作必須被執(zhí)行。 此外,at89c51 設(shè)有穩(wěn)態(tài)邏輯,可以在低到零頻率的條件下靜態(tài)邏輯,支持兩種 軟件可選的掉電模式。在閑置模式下,cpu 停止工作。但 ram,定時(shí)器,計(jì)數(shù)器, 串口和中斷系統(tǒng)仍在工作。在掉電模式下,保存 ram 的內(nèi)容并且凍結(jié)振蕩器,禁 止所用其他芯

18、片功能,直到下一個(gè)硬件復(fù)位為止。 at89c51單片機(jī)的引腳圖,如圖1所示。圖1 單片機(jī)引腳圖3 仿真軟件protuse的介紹proteus軟件是英國(guó)labcenter electronics公司出版的eda工具軟件(該軟件中國(guó)總代理為廣州風(fēng)標(biāo)電子技術(shù)有限公司)。它不僅具有其它eda工具軟件的仿真功能,還能仿真單片機(jī)及外圍器件。它是目前最好的仿真單片機(jī)及外圍器件的工具。雖然目前國(guó)內(nèi)推廣剛起步,但已受到單片機(jī)愛(ài)好者、從事單片機(jī)教學(xué)的教師、致力于單片機(jī)開(kāi)發(fā)應(yīng)用的科技工作者的青睞。proteus是世界上著名的eda工具(仿真軟件),從原理圖布圖、代碼調(diào)試到單片機(jī)與外圍電路協(xié)同仿真,一鍵切換到pcb設(shè)

19、計(jì),真正實(shí)現(xiàn)了從概念到產(chǎn)品的完整設(shè)計(jì)。是目前世界上唯一將電路仿真軟件、pcb設(shè)計(jì)軟件和虛擬模型仿真軟件三合一的設(shè)計(jì)平臺(tái),其處理器模型支持8051、hc11、pic10/12/16/18/24/30/dspic33、avr、arm、8086和msp430等,2010年即將增加cortex和dsp系列處理器,并持續(xù)增加其他系列處理器模型。在編譯方面,它也支持iar、keil和mplab等多種編譯器。4系統(tǒng)模塊設(shè)計(jì)本設(shè)計(jì)用單片機(jī)stc80c51制作簡(jiǎn)易數(shù)字頻率計(jì),先將未知頻率的波形通過(guò)整型電路,整形成矩形波,作為單片機(jī)的外部中斷,單片機(jī)將每秒鐘終端的次數(shù)(即待測(cè)波形的頻率)進(jìn)行計(jì)數(shù),計(jì)數(shù)值作為信號(hào)頻

20、率用顯示器1602顯示,可顯示出測(cè)量的結(jié)果??蓽y(cè)量正弦波三角波矩形波的頻率。設(shè)計(jì)的原理框圖如圖2所示。 顯示電路主控電路整形電路 圖2 總體設(shè)計(jì)框圖5硬件部分頻率計(jì)設(shè)計(jì)包括三個(gè):整形電路控制電路顯示電路。各模塊如下:5.1整形電路單片機(jī)只能檢測(cè)到下降沿或者高低電平的變化。因此,要把未知波形整形成矩形波,可用電壓比較器進(jìn)行整形,運(yùn)算放大器的同相端接模擬地,反相端接輸入信號(hào)。當(dāng)波形的電壓為正時(shí),輸出電壓為負(fù);當(dāng)波形電壓為負(fù)時(shí),輸出電壓為正。但是輸出波形和輸入波形的頻率相等,故可以用整形出的波行就可以直接接到單片機(jī)的外部中斷,進(jìn)行下一步操作。這樣不僅降低了系統(tǒng)對(duì)待測(cè)信號(hào)幅度的要求,而且整形電路可以把

21、非方波信號(hào)轉(zhuǎn)化成方波信號(hào),滿足測(cè)量的要求。如圖3圖3 整形電路5.2控制電路 單片機(jī)接上晶振和復(fù)位后構(gòu)成at89c51的最小系統(tǒng),可以在此基礎(chǔ)上外接其他的元件。最小系統(tǒng)如圖3所示。圖3 單片機(jī)的最小系統(tǒng)5.3顯示電路5.3.1 lcd1602引腳1602 采用標(biāo)準(zhǔn)的 16 腳接口,其中:第 1 腳:vss 為地電源第 2 腳:vdd 接 5v 正電源第 3 腳:v0 為液晶顯示器對(duì)比度調(diào)整端,接正電源時(shí)對(duì)比度最弱,接地 電源時(shí)對(duì)比度最高,對(duì)比度過(guò)高時(shí)會(huì)產(chǎn)生"鬼影",使用時(shí)可以通過(guò)一個(gè)10k 的電位器調(diào)整對(duì)比度第 4 腳:rs 為寄存器選擇,高電平時(shí)選擇數(shù)據(jù)寄存器,低電平時(shí)選

22、擇指 令寄存器.第 5 腳:為讀寫(xiě)信號(hào)線, rw 高電平時(shí)進(jìn)行讀操作, 低電平時(shí)進(jìn)行寫(xiě)操作. 當(dāng) rs 和 rw 共同為低電平時(shí)可以寫(xiě)入指令或者顯示地址,當(dāng) rs 為低電 平 rw 為高電平時(shí)可以讀忙信號(hào),當(dāng) rs 為高電平 rw 為低電平時(shí)可以寫(xiě)入數(shù)據(jù).第 6 腳:e 端為使能端,當(dāng)e端由高電平跳變成低電平時(shí),液晶模塊執(zhí)行命令. 第714腳:d0d7 為8位雙向數(shù)據(jù)線. 第1516腳:空腳 5.3.2 lcd1602的指令介紹1602 液晶模塊內(nèi)部的控制器共有 11 條控制指令, 它的讀寫(xiě)操作、 屏幕和光標(biāo)操作都是通過(guò)指令編程來(lái)實(shí)現(xiàn)的。指令 1:清顯示,指令碼 01h,光標(biāo)復(fù)位到地址 00h

23、 位置指令 2:光標(biāo)復(fù)位,光標(biāo)返回到地址 00h指令 3:光標(biāo)和顯示模式設(shè)置 i/d:光標(biāo)移動(dòng)方向,高電平右移,低電 平左移 s:屏幕上所有文字是否左移或者右移.高電平表示有效,低電 平則無(wú)效 指令 4:顯示開(kāi)關(guān)控制. d:控制整體顯示的開(kāi)與關(guān),高電平表示開(kāi)顯 示,低電平表示關(guān)顯示 c:控制光標(biāo)的開(kāi)與關(guān),高電平表示有光標(biāo),低 電平表示無(wú)光標(biāo) b:控制光標(biāo)是否閃爍,高電平閃爍,低電平不閃爍 指令 5:光標(biāo)或顯示移位 s/c:高電平時(shí)移動(dòng)顯示的文字,低電平時(shí)移動(dòng)光標(biāo)指令 6:功能設(shè)置命令 dl:高電平時(shí)為 4 位總線,低電平時(shí)為 8 位總線 n:低電平時(shí)為單行顯示,高電平時(shí)雙行顯示 f: 低電平時(shí)

24、顯示 5x7 的 點(diǎn)陣字符,高電平時(shí)顯示 5x10 的點(diǎn)陣字符 指令 7:字符發(fā)生器 ram 地址設(shè)置 指令 8:ddram 地址設(shè)置 指令 9:讀忙信號(hào)和光標(biāo)地址 bf:為忙標(biāo)志位,高電平表示忙,此時(shí)模 塊不能接收命令或者數(shù)據(jù),如果為低電平表示不忙. 指令 10:寫(xiě)數(shù)據(jù) 指令 11:讀數(shù)據(jù) dm-162 液晶顯示模塊可以和單片機(jī)顯示電路連接用lcd1602顯示器做顯示模塊。將lcd1602的數(shù)據(jù)口接到單片機(jī)的p0,控制線接到p2口。連接好的線路,如圖4圖4 顯示電路5.4總體電路圖總體設(shè)計(jì)框圖如圖5圖5 總體設(shè)計(jì)框圖6仿真結(jié)果6.1仿真結(jié)果接好電路后,用protuse軟件仿真,當(dāng)輸入信號(hào)是1

25、000k時(shí),仿真結(jié)果是1001khz,如下6圖6 仿真結(jié)果6.2結(jié)果分析仿真結(jié)果和理論結(jié)果有一定誤差,但是誤差在可接受的范圍內(nèi)。分析導(dǎo)致誤差的原因可能是:用c語(yǔ)言編程時(shí),程序占用一定的掃描時(shí)間,可能會(huì)造成誤差;顯示模塊每秒鐘顯示一次,不能及時(shí)觀測(cè)頻率,造成誤差;軟件本身的缺陷,造成誤差。改進(jìn)措施如下: 增加分頻電路,可以所測(cè)頻率降低,測(cè)量結(jié)果更加精確; 采用可變閘門(mén)時(shí)間,使得在測(cè)中低高頻率是采用不同的時(shí)間尺度,提高精度; 使用運(yùn)算速度更快的微處理器; 使用頻率更高的晶振;7 結(jié)論本控制系統(tǒng)設(shè)計(jì)充分利用了51單片機(jī)的引腳及其強(qiáng)大的功能,并配合相關(guān)的電路,實(shí)現(xiàn)率對(duì)水塔的自動(dòng)控制。其中,水塔水位的測(cè)

26、量是通過(guò)自控的開(kāi)關(guān)傳感器將水位信號(hào)傳送給單片機(jī),并對(duì)其進(jìn)行分析處理,然后根據(jù)控制要求數(shù)去控制信號(hào),控制水泵工作,進(jìn)而保持水塔有正常的水位。該控制系統(tǒng)設(shè)計(jì)簡(jiǎn)單、操作方便、實(shí)用性強(qiáng),有很高的推廣價(jià)值。通過(guò)這次畢業(yè)設(shè)計(jì),是我具備了使用所學(xué)的專(zhuān)業(yè)知識(shí)與技能,進(jìn)行實(shí)用控制電路的實(shí)際與制作的能力。使我在電子電路設(shè)計(jì)方面向前買(mǎi)了一大步,為日后工作打下了良好基礎(chǔ)。最后感謝老師的悉心指導(dǎo),耐心的解答,使得本次課設(shè)圓滿結(jié)束。8參考文獻(xiàn)1 黃仁欣單片機(jī)原理與應(yīng)用技術(shù)第二版北京:清華大學(xué)出版社,20102 趙嘉蔚單片機(jī)原理與接口技術(shù)北京:清華大學(xué)出版社,2010 3 李朝青單片機(jī)原理與接口技術(shù)北京:北京航空航天大學(xué)出

27、版社,20054 童詩(shī)白,華成英模擬電子基礎(chǔ)技術(shù)北京:高等教育出版社,20015 鄭峰51單片機(jī)應(yīng)用系統(tǒng)典型模塊開(kāi)發(fā)大全北京:中國(guó)鐵道出版社,20106 賈好來(lái)mcs-51單片機(jī)原理及應(yīng)用北京:機(jī)械工業(yè)出版社,20077 龔運(yùn)新單片機(jī)c語(yǔ)言開(kāi)發(fā)技術(shù)北京:清華大學(xué)出版社,20068 郭天祥新概念51單片機(jī)c語(yǔ)言教程入門(mén)、提高、開(kāi)發(fā)、擴(kuò)展北京:電子工業(yè)出版社,2009附錄1 keil c51軟件介紹keil c51是美國(guó)keil software公司出品的51系列兼容單片機(jī)c語(yǔ)言軟件開(kāi)發(fā)系統(tǒng),與匯編相比,c語(yǔ)言在功能上、結(jié)構(gòu)性、可讀性、可維護(hù)性上有明顯的優(yōu)勢(shì),因而易學(xué)易用。用過(guò)匯編語(yǔ)言后再使用c來(lái)

28、開(kāi)發(fā),體會(huì)更加深刻。keil c51軟件提供豐富的庫(kù)函數(shù)和功能強(qiáng)大的集成開(kāi)發(fā)調(diào)試工具,全windows界面。另外重要的一點(diǎn),只要看一下編譯后生成的匯編代碼,就能體會(huì)到keil c51生成的目標(biāo)代碼效率非常之高,多數(shù)語(yǔ)句生成的匯編代碼很緊湊,容易理解。在開(kāi)發(fā)大型軟件時(shí)更能體現(xiàn)高級(jí)語(yǔ)言的優(yōu)勢(shì)。單片機(jī)開(kāi)發(fā)中除必要的硬件外,同樣離不開(kāi)軟件,我們寫(xiě)的匯編語(yǔ)言源程序要變?yōu)閏pu可以執(zhí)行的機(jī)器碼有兩種方法,一種keil軟件圖標(biāo)是手工匯編,另一種是機(jī)器匯編,目前已極少使用手工匯編的方法了。機(jī)器匯編是通過(guò)匯編軟件將源程序變?yōu)闄C(jī)器碼,用于mcs-51單片機(jī)的匯編軟件有早期的a51,隨著單片機(jī)開(kāi)發(fā)技術(shù)的不斷發(fā)展,從

29、普遍使用匯編語(yǔ)言到逐漸使用高級(jí)語(yǔ)言開(kāi)發(fā),單片機(jī)的開(kāi)發(fā)軟件也在不斷發(fā)展,keil軟件是目前最流行開(kāi)發(fā)mcs-51系列單片機(jī)的軟 件,這從近年來(lái)各仿真機(jī)廠商紛紛宣布全面支持keil即可看出。keil提供了包括c編譯器、宏匯編、連接器、庫(kù)管理和一個(gè)功能強(qiáng)大的仿真調(diào)試器等在內(nèi)的完整開(kāi)發(fā)方案,通過(guò)一個(gè)集成開(kāi)發(fā)環(huán)境(uvision)將這些部份組合在一起。運(yùn)行keil軟件需要pentium或以上的cpu,16mb或更多ram、20m以上空閑的硬盤(pán)空間、win98、nt、win2000、winxp等操作系統(tǒng)。掌握這一軟件的使用對(duì)于使用51系列單片機(jī)的愛(ài)好者來(lái)說(shuō)是十分必要的,如果你使用c語(yǔ)言編程,那么keil幾

30、乎就是你的不二之選(目前在國(guó)內(nèi)你只能買(mǎi)到該軟件、而你買(mǎi)的仿真機(jī)也很可能只支持該軟件),即使不使用c語(yǔ)言而僅用匯編語(yǔ)言編程,其方便易用的集成環(huán)境、強(qiáng)大的軟件仿真調(diào)試工具也會(huì)令你事半功倍。2 程序流程圖 開(kāi)始 初始化 定時(shí)器t=1s?否 是 計(jì)數(shù)器th0,tl0都值轉(zhuǎn)換為頻率圖4 軟件流程圖3系統(tǒng)源程序#include"reg51.h" #define uchar unsigned char #define uint unsigned int sbit lcd_rs=p25; sbit lcd_rw=p26; sbit lcd_en=p27; /讀寫(xiě)使能端 sbit ru=p3

31、5; void lcd_init(); /lcd初始化 void delay(uint); void write_com(uchar); void write_date(uchar); /讀寫(xiě)函數(shù) void display(); uchar lang="frequence:" uchar ddate='0','1','2','3','4','5','6','7','8','9' long count;void mai

32、n(void) uint k; ru=1; tmod=0x51; / 定時(shí)器0為定時(shí), th0=(65536-50000)/256; tl0=(65536-50000)%256; th1=0; tl1=0; ea=1; et0=1; tr0=1; tr1=1; lcd_init(); write_com(0x80+0x03); /寫(xiě)第一行 for(k=0;k<11;k+) write_date(langk); delay(1); write_com(0x80+0x44); write_date('f'); write_com(0x80+0x45); write_date(

33、'='); write_com(0x80+0x4c); write_date('h'); write_com(0x80+0x4d); write_date('z'); for(;); void timer0() interrupt 1 uint i;th0=(65536-50000)/256; tl0=(65536-50000)%256; i+; if(i=20) i=0;tr1=0;count=th1*256+tl1; th1=0;tl1=0;display(); count=0;tr1=1; void lcd_init()/lcd的初始化 l

34、cd_en=0; write_com(0x38); write_com(0x0c); write_com(0x06); write_com(0x01);/ write_com(0x0f);void delay(uint x) /延時(shí)函數(shù) uint i,j; for(i=0;i<x;i+) for(j=0;j<110;j+); void write_com(uchar com) lcd_rs=0; lcd_rw=0; p0=com; delay(5); lcd_en=1; delay(5); lcd_en=0; void write_date(uchar shuju) lcd_rs=1; lcd_rw=0; p0=shuju; delay(5); lcd_en=1; delay(5); lcd_en=0; void display() write_com(0x80+0x46); write_date(0x30+(count/100000); write_com(0x80+0x47); write_date(0x30+(count%1

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論