數(shù)字電子技術(shù)期末考試試題_第1頁(yè)
數(shù)字電子技術(shù)期末考試試題_第2頁(yè)
數(shù)字電子技術(shù)期末考試試題_第3頁(yè)
數(shù)字電子技術(shù)期末考試試題_第4頁(yè)
數(shù)字電子技術(shù)期末考試試題_第5頁(yè)
已閱讀5頁(yè),還剩21頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、期末考試試題 課程名稱 數(shù)字電子技術(shù) 適用專業(yè)自動(dòng)化、測(cè)控考試時(shí)間 ( 120 )分鐘一、 填空題(22分每空2分)1、 A , 。2、JK觸發(fā)器的特性方程為: 。3、單穩(wěn)態(tài)觸發(fā)器中,兩個(gè)狀態(tài)一個(gè)為 穩(wěn)態(tài) 態(tài),另一個(gè)為 暫穩(wěn)態(tài) 態(tài).多諧振蕩器兩個(gè)狀態(tài)都為暫穩(wěn)態(tài) 態(tài), 施密特觸發(fā)器兩個(gè)狀態(tài)都為 穩(wěn)態(tài) 態(tài).4、組合邏輯電路的輸出僅僅只與該時(shí)刻的 輸入 有關(guān), 而與 電路原先狀態(tài) 無(wú)關(guān)。5、某數(shù)/模轉(zhuǎn)換器的輸入為8位二進(jìn)制數(shù)字信號(hào)(D7D0),輸出為025.5V的模擬電壓。若數(shù)字信號(hào)的最低位是“1”其余各位是“0”,則輸出的模擬電壓為 0.1V 。6、一個(gè)四選一數(shù)據(jù)選擇器,其地址輸入端有 兩 個(gè)。二

2、、 化簡(jiǎn)題(15分 每小題5分)用卡諾圖化簡(jiǎn)邏輯函數(shù),必須在卡諾圖上畫出卡諾圈 1) Y(A,B,C,D)=m(0,1,2,3,4,5,6,7,13,15)=111111ABCD000001011010111111111×11×××××ABCD000001011010111112)利用代數(shù)法化簡(jiǎn)邏輯函數(shù),必須寫出化簡(jiǎn)過(guò)程3)3)三、 畫圖題(10分 每題5分)據(jù)輸入波形畫輸出波形或狀態(tài)端波形(觸發(fā)器的初始狀態(tài)為0).1、 1、 2、 四、 分析題(17分)1、分析下圖,并寫出輸出邏輯關(guān)系表達(dá)式,要有分析過(guò)程(6分)2、電路如圖所示,分

3、析該電路,畫出完全的時(shí)序圖,并說(shuō)明電路的邏輯功能,要有分析過(guò)程(11分)五進(jìn)制計(jì)數(shù)器五、 設(shè)計(jì)題(28分)1、 用紅、黃、綠三個(gè)指示燈表示三臺(tái)設(shè)備的工作情況:綠燈亮表示全部正常;紅燈亮表示有一臺(tái)不正常;黃燈亮表示兩臺(tái)不正常;紅、黃燈全亮表示三臺(tái)都不正常。列出控制電路真值表,要求用74LS138和適當(dāng)?shù)呐c非門實(shí)現(xiàn)此電路(20分)1)根據(jù)題意,列出真值表由題意可知,令輸入為A、B、C表示三臺(tái)設(shè)備的工作情況,“1”表示正常,“0”表示不正常,令輸出為R,Y,G表示紅、黃、綠三個(gè)批示燈的 狀態(tài),“1”表示亮,“0”表示滅。A B C R Y G0 0 00 0 10 1 00 1 11 0 01 0

4、11 1 01 1 1 1 1 0 0 1 0 0 1 0 1 0 0 0 1 0 1 0 0 1 0 0 0 0 12)由真值表列出邏輯函數(shù)表達(dá)式為:(3)根據(jù)邏輯函數(shù)表達(dá)式,選用譯碼器和與非門實(shí)現(xiàn),畫出邏輯電路圖。RD QD QC QB QA LDEP ET 161CP D C B A&11CP2、中規(guī)模同步四位二進(jìn)制計(jì)數(shù)器74LS161的功能表見附表所示;請(qǐng)用反饋預(yù)置回零法設(shè)計(jì)一個(gè)六進(jìn)制加法計(jì)數(shù)器。(8分)六、分析畫圖題(8分)畫出下圖所示電路在作用下,輸出電壓的波形和電壓傳輸特性74LS138功能表如下: 輸 入輸 出G1 G2A G2BC B AY0 Y1 Y2 Y3 Y4

5、Y5 Y6 Y7× H ×× × HL × ×H L LH L LH L LH L LH L LH L LH L LH L L×××××××××L L LL L HL H LL H HH L LH L HH H LH H HH H H H H H H HH H H H H H H HH H H H H H H HL H H H H H H HH L H H H H H HH H L H H H H HH H H L H H H HH H H H

6、L H H H H H H H H L H HH H H H H H L HH H H H H H H L 74LS161功能表清零 RD預(yù)置 LD 使能EP ET時(shí)鐘 CP預(yù)置數(shù)據(jù)輸入D C B A 輸出QD QC QB QA L H H H H × L H H H××××L ×× LH H×××××××D C B A×××××××××××

7、15;L L L LD C B A保 持保 持計(jì) 數(shù)數(shù)字電子技術(shù)基礎(chǔ)試題(第一套)一、填空題:(每空1分,共15分)1邏輯函數(shù)的兩種標(biāo)準(zhǔn)形式分別為( )、( )。2將2004個(gè)“1”異或起來(lái)得到的結(jié)果是( 0 )。3半導(dǎo)體存儲(chǔ)器的結(jié)構(gòu)主要包含三個(gè)部分,分別是( 地址譯碼器 )、( 存儲(chǔ)矩陣 )、( 輸出緩沖器 )。48位D/A轉(zhuǎn)換器當(dāng)輸入數(shù)字量10000000為5v。若只有最低位為高電平,則輸出電壓為( 0.039 )v;當(dāng)輸入為10001000,則輸出電壓為( 5.31 )v。5就逐次逼近型和雙積分型兩種A/D轉(zhuǎn)換器而言,( 雙積分型 )的抗干擾能力強(qiáng),( 逐次逼近型 )的轉(zhuǎn)換速度快。6由5

8、55定時(shí)器構(gòu)成的三種電路中,( 施密特觸發(fā)器 )和( 單穩(wěn)態(tài)觸發(fā)器 )是脈沖的整形電路。7與PAL相比,GAL器件有可編程的輸出結(jié)構(gòu),它是通過(guò)對(duì)(結(jié)構(gòu)控制字 )進(jìn)行編程設(shè)定其( 輸出邏輯宏單元 )的工作模式來(lái)實(shí)現(xiàn)的,而且由于采用了( E2CMOS )的工藝結(jié)構(gòu),可以重復(fù)編程,使它的通用性很好,使用更為方便靈活。二、根據(jù)要求作題: (共15分)1 將邏輯函數(shù) P=AB+AC寫成“與或非”表達(dá)式,并用“集電極開路與非門”來(lái)實(shí)現(xiàn)。1OC與非門實(shí)現(xiàn)如圖:2 圖1、2中電路均由CMOS門電路構(gòu)成,寫出P、Q 的表達(dá)式,并畫出對(duì)應(yīng)A、B、C的P、Q波形。 2 三、分析圖3所示電路: (10分)1) 試寫出

9、8選1數(shù)據(jù)選擇器的輸出函數(shù)式;1)2) 畫出A2、A1、A0從000111連續(xù)變化時(shí),Y的波形圖;2)3) 說(shuō)明電路的邏輯功能。 3)該電路為序列脈沖發(fā)生器,當(dāng)A2、A1、A0從000111連續(xù)變化時(shí),Y端輸出連續(xù)脈沖10110011。四、設(shè)計(jì)“一位十進(jìn)制數(shù)”的四舍五入電路(采用8421BCD碼)。要求只設(shè)定一個(gè)輸出,并畫出用最少“與非門”實(shí)現(xiàn)的邏輯電路圖。(15分)設(shè)用A3A2A1A0表示該數(shù),輸出F。列出真值表(6分)A3 A2 A1 A0 F0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 1 0 0

10、 0 0 0 1 1111 1 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1 X XXXXX 五、已知電路及CP、A的波形如圖4(a) (b)所示,設(shè)觸發(fā)器的初態(tài)均為“0”,試畫出輸出端B和C 的波形。 (8分) BCPABC C六、用T觸發(fā)器和異或門構(gòu)成的某種電路如圖5(a)所示,在示波器上觀察到波形如圖5(b)所示。試問(wèn)該電路是如何連接的?請(qǐng)?jiān)谠瓐D上畫出正確的連接圖,并標(biāo)明T的取值。 (6分)、T=1, 連線如圖:七、圖6所示是16*4位ROM和同步十六進(jìn)制加法計(jì)數(shù)器74LS161組成的脈沖分頻電路。ROM中的數(shù)據(jù)見表1所示。試畫出在CP信號(hào)連續(xù)作用下的

11、D3、D2、D1、D0輸出的電壓波形,并說(shuō)明它們和CP信號(hào)頻率之比。 (16分) 表1: 地址輸入 數(shù)據(jù)輸出A3 A2 A1 A0D3 D2 D1 D0 0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 0 1 1 1 1 1 1 1 10 0 0 00 0 1 10 1 0 00 1 0 11 0 1 01 0 0 11 0 0 01 1 1 11 1 0 00 0 0 10 0 1 00 0 0 10 1 0 00 1 1 10 0

12、0 0 CP波形如圖所示: D3、D2、D1、D0頻率比分別是1/15、3/15、5/15、7/15;D0CPD1D2D3八、綜合分析圖7所示電路,RAM的16個(gè)地址單元中的數(shù)據(jù)在表中列出。要求: (1)說(shuō)明555定時(shí)器構(gòu)成什么電路? (18分)555定時(shí)器構(gòu)成多諧振蕩器,發(fā)出矩形波;(2)說(shuō)明74LS160構(gòu)成多少進(jìn)制計(jì)數(shù)器?74LS160構(gòu)成九進(jìn)制計(jì)數(shù)器,狀態(tài)轉(zhuǎn)換圖如下:(3)說(shuō)明RAM在此處于什么工作狀態(tài),起什么作用?RAM處于讀出狀態(tài),將0000B1000B單元的內(nèi)容循環(huán)讀出(4)寫出DA轉(zhuǎn)換器CB7520的輸出表達(dá)式(UO與d9d0之間的關(guān)系);(5)畫出輸出電壓Uo的波形圖(要求畫

13、一個(gè)完整的循環(huán))。 輸出電壓波形圖如下:數(shù)字電子技術(shù)基礎(chǔ)試題(第二套)一、填空題:(每空1分,共16分)1邏輯函數(shù)有四種表示方法,它們分別是( 真值表 )、( 、邏輯圖 )、( 、邏輯表達(dá)式、 )和( 卡諾圖 )。2將2004個(gè)“1”異或起來(lái)得到的結(jié)果是(0 )。3目前我們所學(xué)的雙極型集成電路和單極型集成電路的典型電路分別是( TTL 、 )電路和( CMOS )電路。4施密特觸發(fā)器有( 兩 )個(gè)穩(wěn)定狀態(tài).,多諧振蕩器有(0 )個(gè)穩(wěn)定狀態(tài)。5已知Intel2114是1K* 4位的RAM集成電路芯片,它有地址線( 10 )條,數(shù)據(jù)線( 4 )條。6已知被轉(zhuǎn)換的信號(hào)的上限截止頻率為10kHz,則A

14、/D轉(zhuǎn)換器的采樣頻率應(yīng)高于( 20 )kHz;完成一次轉(zhuǎn)換所用的時(shí)間應(yīng)小于( 50S )。7GAL器件的全稱是( 通用陣列邏輯 ),與PAL相比,它的輸出電路是通過(guò)編程設(shè)定其( 輸出邏輯宏單元 )的工作模式來(lái)實(shí)現(xiàn)的,而且由于采用了(E2CMOS )的工藝結(jié)構(gòu),可以重復(fù)編程,使用更為方便靈活。二、根據(jù)要求作題:(共16分)3 試畫出用反相器和集電極開路與非門實(shí)現(xiàn)邏輯函數(shù) 。1 2、圖1、2中電路由TTL門電路構(gòu)成,圖3由CMOS門電路構(gòu)成,試分別寫出F1、F2、F3的表達(dá)式。 2 三、已知電路及輸入波形如圖4所示,其中FF1是D鎖存器,F(xiàn)F2是維持-阻塞D觸發(fā)器,根據(jù)CP和D的輸入波形畫出Q1和

15、Q2的輸出波形。設(shè)觸發(fā)器的初始狀態(tài)均為0。 (8分) 四、分析圖5所示電路,寫出Z1、Z2的邏輯表達(dá)式,列出真值表,說(shuō)明電路的邏輯功能。 (10分)(1)表達(dá)式 (2)真值表(3)邏輯功能為:全減器五、設(shè)計(jì)一位8421BCD碼的判奇電路,當(dāng)輸入碼含奇數(shù)個(gè)“1”時(shí),輸出為1,否則為0。要求使用兩種方法實(shí)現(xiàn): (20分)(1)用最少與非門實(shí)現(xiàn),畫出邏輯電路圖;首先,根據(jù)電路邏輯描述畫出卡諾圖:(1)最簡(jiǎn)“與或式”為:; “與非與非式”為: (與非門實(shí)現(xiàn)圖略)(2)用一片8選1數(shù)據(jù)選擇器74LS151加若干門電路實(shí)現(xiàn),畫出電路圖。 (2)六、電路如圖6所示,其中RA=RB=10k,C=0.1f,試問(wèn)

16、:1在Uk為高電平期間,由555定時(shí)器構(gòu)成的是什么電路,其輸出U0的頻率f0=?(1) 多諧振蕩器; 2分析由JK觸發(fā)器FF1、FF2、FF3構(gòu)成的計(jì)數(shù)器電路,要求:寫出驅(qū)動(dòng)方程和狀態(tài)方程,畫出完整的狀態(tài)轉(zhuǎn)換圖;(2) 驅(qū)動(dòng)方程:狀態(tài)方程:狀態(tài)轉(zhuǎn)換圖:3設(shè)Q3、Q2、Q1的初態(tài)為000,Uk所加正脈沖的寬度為Tw=5/f0,脈沖過(guò)后Q3、Q2、Q1將保持在哪個(gè)狀態(tài)? (共15分) 初態(tài)為000,五個(gè)周期后將保持在100狀態(tài)。 七、集成4位二進(jìn)制加法計(jì)數(shù)器74161的連接圖如圖7所示,是預(yù)置控制端;D0、D1、D2、D3是預(yù)置數(shù)據(jù)輸入端;Q3、Q2、Q1、Q0是觸發(fā)器的輸出端,Q0是最低位,Q3

17、是最高位;為低電平時(shí)電路開始置數(shù),為高電平時(shí)電路計(jì)數(shù)。試分析電路的功能。要求: (15分) (1)列出狀態(tài)轉(zhuǎn)換表; (2)檢驗(yàn)自啟動(dòng)能力; (3)說(shuō)明計(jì)數(shù)模值。 1)狀態(tài)轉(zhuǎn)換圖如下: (2)可以自啟動(dòng); (3)模8;數(shù)字電子技術(shù)基礎(chǔ)試題(第三套)一、填空(每題1分,共10分)1. TTL門電路輸出高電平為 V,閾值電壓為 V;2. 觸發(fā)器按動(dòng)作特點(diǎn)可分為基本型、 、 和邊沿型;3. 組合邏輯電路產(chǎn)生競(jìng)爭(zhēng)冒險(xiǎn)的內(nèi)因是 ;4. 三位二進(jìn)制減法計(jì)數(shù)器的初始狀態(tài)為101,四個(gè)CP脈沖后它的狀態(tài)為 ;5. 如果要把一寬脈沖變換為窄脈沖應(yīng)采用 觸發(fā)器;6. RAM的擴(kuò)展可分為 、 擴(kuò)展兩種;7. PAL是

18、 可編程,EPROM是 可編程;8. GAL中的OLMC可組態(tài)為專用輸入、 、寄存反饋輸出等幾種工作模式;9. 四位DAC的最大輸出電壓為5V,當(dāng)輸入數(shù)據(jù)為0101時(shí),它的輸出電壓為 V;10. 如果一個(gè)3位ADC輸入電壓的最大值為1V,采用“四舍五入”量化法,則它的量化階距為 V。二、寫出圖1中,各邏輯電路的輸出邏輯表達(dá)式,并化為最簡(jiǎn)與或式; (G1、G2為OC門,TG1、TG2為CMOS傳輸門) (10分) 三、由四位并行進(jìn)位全加器74LS283構(gòu)成圖2所示: (15分)1. 當(dāng)A=0,X3X2X1X0=0011,Y3Y2Y1Y0=0100求Z3Z2Z1Z0=?,W=?2. 當(dāng)A=1,X3

19、X2X1X0=1001,Y3Y2Y1Y0=0101求Z3Z2Z1Z0=?,W=?3. 寫出X(X3X2X1X0),Y(Y3Y2Y1Y0),A與Z(Z3Z2Z1Z0),W之間的算法公式,并指出其功能. 四、試畫出圖3在CP脈沖作用下Q1,Q2,Y對(duì)應(yīng)的電壓波形。(設(shè)觸發(fā)器的初態(tài)為0,畫6個(gè)完整的CP脈沖的波形) (15分) 五、由可擦可編程只讀存儲(chǔ)器EPROM2716構(gòu)成的應(yīng)用電路如圖所示。(15分)1. 計(jì)算EPROM2716的存儲(chǔ)容量;2. 當(dāng)ABCD=0110時(shí),數(shù)碼管顯示什么數(shù)字;3. 寫出Z的最小項(xiàng)表達(dá)式,并化為最簡(jiǎn)與或式; 六、由同步十進(jìn)制加法計(jì)數(shù)器74LS160構(gòu)成一數(shù)字系統(tǒng)如圖所

20、示,假設(shè)計(jì)數(shù)器的初態(tài)為0,測(cè)得組合邏輯電路的真值表如下所示: (20分)1. 畫出74LS160的狀態(tài)轉(zhuǎn)換圖;2. 畫出整個(gè)數(shù)字系統(tǒng)的時(shí)序圖;3. 如果用同步四位二進(jìn)制加法計(jì)數(shù)器74LS161代替74LS160,試畫出其電路圖(要求采用置數(shù)法);4. 試用一片二進(jìn)制譯碼器74LS138輔助與非門實(shí)現(xiàn)該組合邏輯電路功能。七、時(shí)序PLA電路如圖所示: (16分) 1. 求該時(shí)序電路的驅(qū)動(dòng)方程、狀態(tài)方程、輸出方程;2. 畫該電路的狀態(tài)轉(zhuǎn)換表和狀態(tài)轉(zhuǎn)換圖;3. 試對(duì)應(yīng)X的波形(如圖所示),畫Q1、Q2和Z的波形;4. 說(shuō)明該電路的功能。 中南大學(xué)信息學(xué)院數(shù)字電子技術(shù)基礎(chǔ)試題(第三套)參考答案一、 填空

21、題:1. 3.4 V 、1.4 V ;2. 同步型 、主從型 ;3. 邏輯器件的傳輸延時(shí) ;4. 001 ;5. 積分型單穩(wěn)態(tài) ;6. 字?jǐn)U展 、位擴(kuò)展 ;7. 與陣列 、或陣列 ;8. 組合輸出 ;9. 5/3 V ;10. 2/15 V;二、(1) (2) 三、(1)A0時(shí): ZXY0111; WCo0;(2)A1時(shí):0100; ;(3)電路功能為:四位二進(jìn)制加/減運(yùn)算電路:當(dāng)A0時(shí),ZXY;當(dāng)A1時(shí),ZXY; 四、五、(1) 存儲(chǔ)容量為:2K×8;(2) 數(shù)碼管顯示“6”;(3) ;六、1狀態(tài)轉(zhuǎn)換圖CPZ1 2 3 4 5 6 7 8 9 10 11 12 13234七、(1)

22、驅(qū)動(dòng)方程和狀態(tài)方程相同: 輸出方程:(2)狀態(tài)轉(zhuǎn)換表:狀態(tài)轉(zhuǎn)換圖: (3)(4)電路功能描述:2位不同數(shù)碼串行檢測(cè)器,當(dāng)串行輸入的兩位數(shù)碼不同時(shí),輸出為“1”,否則,輸出為“0”。數(shù)字電子技術(shù)基礎(chǔ)試題(第四套)一、填空(每題2分,共20分)1. 如圖1所示,A=0時(shí),Y= ;A=1,B=0時(shí),Y= ;2. ,Y的最簡(jiǎn)與或式為 ;3. 如圖2所示為TTL的TSL門電路,EN=0時(shí),Y為 ,EN=1時(shí),Y= ;4. 觸發(fā)器按邏輯功能可分為RSF、JKF、 、 和DF;5. 四位二進(jìn)制減法計(jì)數(shù)器的初始狀態(tài)為0011,四個(gè)CP脈沖后它的狀態(tài)為 ;6. EPROM2864的有 地址輸入端,有 數(shù)據(jù)輸出端

23、;7. 數(shù)字系統(tǒng)按組成方式可分為 、 兩種;8. GAL是 可編程,GAL中的OLMC稱 ;9. 四位DAC的最大輸出電壓為5V,當(dāng)輸入數(shù)據(jù)為0101時(shí),它的輸出電壓為 V;10. 某3位ADC輸入電壓的最大值為1V,采用“取整量化法”時(shí)它的量化階距為 V。二、試分析如圖3所示的組合邏輯電路。 (10分) 1. 寫出輸出邏輯表達(dá)式; 2. 化為最簡(jiǎn)與或式;3. 列出真值表;4. 說(shuō)明邏輯功能。三、試用一片74LS138輔以與非門設(shè)計(jì)一個(gè)BCD碼素?cái)?shù)檢測(cè)電路,要求:當(dāng)輸入為大于1的素?cái)?shù)時(shí),電路輸出為1,否則輸出為0(要有設(shè)計(jì)過(guò)程)。 (10分)四、試畫出下列觸發(fā)器的輸出波形 (設(shè)觸發(fā)器的初態(tài)為0)。 (12分) 1. 2.3.五、如圖所示,由兩片超前進(jìn)位加法器74LS283和一片數(shù)值比較器74LS85組成的數(shù)字系統(tǒng)。試分析: (10分)(1)當(dāng)X3X2X1X00011,Y3Y2Y1Y00011時(shí),Z3Z2Z1Z0?T?(2

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論