測(cè)控系統(tǒng)課程設(shè)計(jì)報(bào)告—王成.doc_第1頁(yè)
測(cè)控系統(tǒng)課程設(shè)計(jì)報(bào)告—王成.doc_第2頁(yè)
測(cè)控系統(tǒng)課程設(shè)計(jì)報(bào)告—王成.doc_第3頁(yè)
測(cè)控系統(tǒng)課程設(shè)計(jì)報(bào)告—王成.doc_第4頁(yè)
測(cè)控系統(tǒng)課程設(shè)計(jì)報(bào)告—王成.doc_第5頁(yè)
已閱讀5頁(yè),還剩18頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、測(cè)控系統(tǒng)課程設(shè)計(jì)課題: 直流馬達(dá)驅(qū)動(dòng)控制電路的設(shè)計(jì) 班級(jí) 測(cè)控1081 學(xué)號(hào) 1081203119 姓名 王 成 專業(yè) 測(cè)控技術(shù)與儀器 學(xué)院 電子與電氣工程學(xué)院 指導(dǎo)教師 莊立運(yùn) 魯慶 淮陰工學(xué)院測(cè)控技術(shù)與儀器教研室2011年12月一 緒論:本章介紹了直流電機(jī)的特點(diǎn)及其發(fā)展概況,然后介紹了直流電機(jī)電路的總體設(shè)計(jì),同時(shí)闡述了直流電機(jī)控制電路軟件和硬件的設(shè)計(jì)。并在此基礎(chǔ)之上測(cè)試出系統(tǒng)放著,最后對(duì)其進(jìn)行總結(jié).而可以采用N溝道增強(qiáng)型場(chǎng)效應(yīng)管構(gòu)建H橋,實(shí)現(xiàn)大功率直流電機(jī)驅(qū)動(dòng)控制。此為基于場(chǎng)效應(yīng)管的直流電機(jī)驅(qū)動(dòng)控制電路設(shè)計(jì)的核心技術(shù)。該驅(qū)動(dòng)電路能夠滿足各種類型直流電機(jī)需求,并具有快速、精確、高效、低功耗等

2、特點(diǎn),可直接與微處理器接口,可應(yīng)用PWM技術(shù)實(shí)現(xiàn)直流電機(jī)調(diào)速控制. 1。1直流電動(dòng)機(jī)控制的發(fā)展現(xiàn)狀常用的控制直流電動(dòng)機(jī)有以下幾種:第一,最初的直流調(diào)速系統(tǒng)是采用恒定的直流電壓向直流電動(dòng)機(jī)電樞供電,通過(guò)改變電樞回路中的電阻來(lái)實(shí)現(xiàn)調(diào)速。這種方法簡(jiǎn)單易行設(shè)備制造方便,價(jià)格低廉。但缺點(diǎn)是效率低、機(jī)械特性軟、不能在較寬范圍內(nèi)平滑調(diào)速,所以目前極少采用。第二,三十年代末,出現(xiàn)了發(fā)電機(jī)-電動(dòng)機(jī)(也稱為旋轉(zhuǎn)變流組),配合采用磁放大器、電機(jī)擴(kuò)大機(jī)、閘流管等控制器件,可獲得優(yōu)良的調(diào)速性能,如有較寬的調(diào)速范圍(十比一至數(shù)十比一)、較小的轉(zhuǎn)速變化率和調(diào)速平滑等,特別是當(dāng)電動(dòng)機(jī)減速時(shí),可以通過(guò)發(fā)電機(jī)非常容易地將電動(dòng)機(jī)軸

3、上的飛輪慣量反饋給電網(wǎng),這樣,一方面可得到平滑的制動(dòng)特性,另一方面又可減少能量的損耗,提高效率。但發(fā)電機(jī)、電動(dòng)機(jī)調(diào)速系統(tǒng)的主要缺點(diǎn)是需要增加兩臺(tái)與調(diào)速電動(dòng)機(jī)相當(dāng)?shù)男D(zhuǎn)電機(jī)和一些輔助勵(lì)磁設(shè)備,因而體積大,維修困難等。第三,自出現(xiàn)汞弧變流器后,利用汞弧變流器代替上述發(fā)電機(jī)、電動(dòng)機(jī)系統(tǒng),使調(diào)速性能指標(biāo)又進(jìn)一步提高。特別是它的系統(tǒng)快速響應(yīng)性是發(fā)電機(jī)、電動(dòng)機(jī)系統(tǒng)不能比擬的。但是汞弧變流器仍存在一些缺點(diǎn):維修還是不太方便,特別是水銀蒸汽對(duì)維護(hù)人員會(huì)造成一定的危害等。第四,1957年世界上出現(xiàn)了第一只晶閘管,與其它變流元件相比,晶閘管具有許多獨(dú)特的優(yōu)越性,因而晶閘管直流調(diào)速系統(tǒng)立即顯示出強(qiáng)大的生命力。由于它

4、具有體積小、響應(yīng)快、工作可靠、壽命長(zhǎng)、維修簡(jiǎn)便等一系列優(yōu)點(diǎn),采用晶閘管供電,不僅使直流調(diào)速系統(tǒng)經(jīng)濟(jì)指標(biāo)上和可靠性有所提高,而且在技術(shù)性能上也顯示出很大的優(yōu)越性。晶閘管變流裝置的放大倍數(shù)在10000以上,比機(jī)組(放大倍數(shù)10)高1000倍,比汞弧變流器(放大倍數(shù)1000)高10倍;在響應(yīng)快速性上,機(jī)組是秒級(jí),而晶閘管變流裝置為毫秒級(jí)。14個(gè)人收集整理,勿做商業(yè)用途本文為互聯(lián)網(wǎng)收集,請(qǐng)勿用作商業(yè)用途1.2直流電動(dòng)機(jī)控制的研究現(xiàn)狀數(shù)字直流調(diào)速裝置,從技術(shù)上,它能成功地做到從給定信號(hào)、調(diào)節(jié)器參數(shù)設(shè)定、直到觸發(fā)脈沖的數(shù)字化,使用通用硬件平臺(tái)附加軟件程序控制一定范圍功率和電流大小的直流電機(jī),同一臺(tái)控制器甚

5、至可以僅通過(guò)參數(shù)設(shè)定和使用不同的軟件版本對(duì)不同類型的被控對(duì)象進(jìn)行控制,強(qiáng)大的通訊功能使它易和PLC等各種器件通訊組成整個(gè)工業(yè)控制過(guò)程系統(tǒng),而且具有操作簡(jiǎn)便、抗干擾能力強(qiáng)等特點(diǎn),尤其是方便靈活的調(diào)試方法、完善的保護(hù)功能、長(zhǎng)期工作的高可靠性和整個(gè)控制器體積小型化,彌補(bǔ)了模擬直流調(diào)速控制系統(tǒng)的保護(hù)功能不完善、調(diào)試不方便、體積大等不足之處,且數(shù)字控制系統(tǒng)表現(xiàn)出另外一些優(yōu)點(diǎn),如查找故障迅速、調(diào)速精度高、維護(hù)簡(jiǎn)單,使其具備了廣一闊的應(yīng)用前景。二。 總體方案設(shè)計(jì)2.1介紹描述單片機(jī)直流電機(jī)調(diào)速簡(jiǎn)介:單片機(jī)直流調(diào)速系統(tǒng)可實(shí)現(xiàn)對(duì)直流電動(dòng)機(jī)的平滑調(diào)速。PWM是通過(guò)控制固定電壓的直流電源開(kāi)關(guān)頻率,從而改變負(fù)載兩端的

6、電壓,進(jìn)而達(dá)到控制要求的一種電壓調(diào)整方法。在PWM驅(qū)動(dòng)控制的調(diào)整系統(tǒng)中,按一個(gè)固定的頻率來(lái)接通和斷開(kāi)電源,并根據(jù)需要改變一個(gè)周期內(nèi)“接通"和“斷開(kāi)"時(shí)間的長(zhǎng)短。通過(guò)改變直流電機(jī)電樞上電壓的“占空比”來(lái)改變平均電壓的大小,從而控制電動(dòng)機(jī)的轉(zhuǎn)速。因此,PWM又被稱為“開(kāi)關(guān)驅(qū)動(dòng)裝置”。本系統(tǒng)以89C51單片機(jī)為核心,通過(guò)單片機(jī)控制,C語(yǔ)言編程實(shí)現(xiàn)對(duì)直流電機(jī)的平滑調(diào)速。系統(tǒng)控制方案的分析:本直流電機(jī)調(diào)速系統(tǒng)以單片機(jī)系統(tǒng)為依托,根據(jù)PWM調(diào)速的基本原理,以直流電機(jī)電樞上電壓的占空比來(lái)改變平均電壓的大小,從而控制電動(dòng)機(jī)的轉(zhuǎn)速為依據(jù),實(shí)現(xiàn)對(duì)直流電動(dòng)機(jī)的平滑調(diào)速,并通過(guò)單片機(jī)控制速度的變化

7、。本文所研究的直流電機(jī)調(diào)速系統(tǒng)主要是由硬件和軟件兩大部分組成。硬件部分是前提,是整個(gè)系統(tǒng)執(zhí)行的基礎(chǔ),它主要為軟件提供程序運(yùn)行的平臺(tái)。而軟件部分,是對(duì)硬件端口所體現(xiàn)的信號(hào),加以采集、分析、處理,最終實(shí)現(xiàn)控制器所要實(shí)現(xiàn)的各項(xiàng)功能,達(dá)到控制器自動(dòng)對(duì)電機(jī)速度的有效控制。 2.2系統(tǒng)總體設(shè)計(jì)框圖本系統(tǒng)采用89C51控制輸出數(shù)據(jù),由PWM信號(hào)發(fā)生電路產(chǎn)生PWM信號(hào),送到直流電機(jī),直流電機(jī)通過(guò)測(cè)速電路,濾波電路,和A/D轉(zhuǎn)換電路交數(shù)據(jù)重新送回單片機(jī),進(jìn)行PI運(yùn)算,從而實(shí)現(xiàn)對(duì)電機(jī)速度和轉(zhuǎn)向的控制,達(dá)到直流電機(jī)調(diào)速的目的。主控芯片PWM信號(hào)的產(chǎn)生與放大直流電機(jī)測(cè)速發(fā)電機(jī)濾波電路A/D轉(zhuǎn)換圖2-1系統(tǒng)總體設(shè)計(jì)圖2

8、。3系統(tǒng)各功能階段2。3。1.8051單片機(jī)的基本組成8051單片機(jī)由CPU和8個(gè)部件組成,它們都通過(guò)片內(nèi)單一總線連接,其基本結(jié)構(gòu)依然是通用CPU加上外圍芯片的結(jié)構(gòu)模式,但在功能單元的控制上采用了特殊功能寄存器的集中控制方法.其基本組成如下圖所示: 圖22 8051基本結(jié)構(gòu)圖2。3.2CPU及部分部件的作用功能介紹如下中央處理器CPU:它是單片機(jī)的核心,完成運(yùn)算和控制功能。內(nèi)部數(shù)據(jù)存儲(chǔ)器:8051芯片中共有256個(gè)RAM單元,能作為存儲(chǔ)器使用的只是前128個(gè)單元,其地址為00H7FH。通常說(shuō)的內(nèi)部數(shù)據(jù)存儲(chǔ)器就是指這前128個(gè)單元,簡(jiǎn)稱內(nèi)部RAM。內(nèi)部程序存儲(chǔ)器:8051芯片內(nèi)部共有4K個(gè)單元,

9、用于存儲(chǔ)程序、原始數(shù)據(jù)或表格,簡(jiǎn)稱內(nèi)部ROM。定時(shí)器:8051片內(nèi)有2個(gè)16位的定時(shí)器,用來(lái)實(shí)現(xiàn)定時(shí)或者計(jì)數(shù)功能,并且以其定時(shí)或計(jì)數(shù)結(jié)果對(duì)計(jì)算機(jī)進(jìn)行控制.中斷控制系統(tǒng):該芯片共有5個(gè)中斷源,即外部中斷2個(gè),定時(shí)/計(jì)數(shù)中斷2個(gè)和串行中斷1個(gè)。2.3。38051單片機(jī)引腳圖 圖23 8051單片機(jī)引腳圖 2。3.4單片機(jī)系統(tǒng)中所用其他芯片選型1.地址鎖存器地址鎖存器可以選擇多種,有地址鎖存功能的器件有74LS373、8282、74LS273等,8282是地址鎖存器,功能與74LS373類似,但本系統(tǒng)選用74LS373作為地址鎖存器,考慮到其應(yīng)用的廣泛性以及具有良好的性價(jià)比,成為目前在單片機(jī)系統(tǒng)中應(yīng)

10、該較廣泛的地址鎖存器。74LS373片內(nèi)是8個(gè)輸出帶三態(tài)門的D鎖存器。當(dāng)使能端呈高電平時(shí),鎖存器中的內(nèi)容可以更新,而在返回低電平的瞬間實(shí)現(xiàn)鎖存。如果此時(shí)芯片的輸出控制端為低,也即是輸出三態(tài)門打開(kāi),鎖存器中的地址信息便可以通過(guò)三態(tài)門輸出。其引腳圖如圖24所示: 圖24 74L373引腳圖2程序存儲(chǔ)器存儲(chǔ)器是單片機(jī)的又一個(gè)重要組成部分,其中程序存儲(chǔ)器是單片機(jī)中非常重要的存儲(chǔ)器,但由于其存儲(chǔ)空間不足,常常需要對(duì)單片機(jī)的存儲(chǔ)器空間進(jìn)行擴(kuò)展,擴(kuò)展程序存儲(chǔ)器常用芯片有EPROM(紫外線可擦除型),如2716(2KB)、2732(4KB)、2764(8KB)、27128(16KB)、27256(32KB)等

11、,另外還有5V電擦除E2PROM,如2816(2KB)、2864(8KB)等等??紤]到系統(tǒng)功能的可擴(kuò)展性以及程序功能的擴(kuò)展,本系統(tǒng)采用16KB的27128作為程序存儲(chǔ)器擴(kuò)展芯片,在滿足系統(tǒng)要求的前提下還存有一定的擴(kuò)展空間,是本系統(tǒng)最合適的程序存儲(chǔ)器擴(kuò)展芯片。27128的引腳圖如圖25所示: 圖25 27128結(jié)構(gòu)圖3數(shù)據(jù)存儲(chǔ)器8051單片機(jī)有128B RAM,當(dāng)數(shù)據(jù)量超過(guò)128B也需要把數(shù)據(jù)存儲(chǔ)區(qū)進(jìn)一步擴(kuò)展.常用RAM芯片分靜態(tài)和動(dòng)態(tài)兩種。靜態(tài)RAM有6116(2KB)、6264(8KB)等,動(dòng)態(tài)DRAM2164(8KB)等,另外還有集成IRAM和E2PROM。使用E2PROM作數(shù)據(jù)存儲(chǔ)器有斷

12、電保護(hù)數(shù)據(jù)的優(yōu)點(diǎn)。數(shù)據(jù)存儲(chǔ)器擴(kuò)展常使用隨機(jī)存儲(chǔ)器芯片,用的較多的是Intel公司的6116容量為2KB和6264容量為8KB。本系統(tǒng)采用容量8KB的6264作為數(shù)據(jù)存儲(chǔ)器擴(kuò)展芯片。其引腳圖如圖26所示: 圖26 6264引腳圖2。3.5 8051單片機(jī)擴(kuò)展電路及分析圖27 8051單片機(jī)擴(kuò)展電路及分析接線分析:P0.7-P0.0:這8個(gè)引腳共有兩種不同的功能,分別使用于兩種不同的情況.第一種情況是8051不帶片外存儲(chǔ)器,P0口可以作為通用I/O口使用,P0.7P0。0用于傳送CPU的I/O數(shù)據(jù).第二種情況是8051帶片外存儲(chǔ)器,P0.7-P0.0在CPU訪問(wèn)片外存儲(chǔ)器時(shí)先是用于傳送片外存儲(chǔ)器的

13、低8位地址,然后傳送CPU對(duì)片外存儲(chǔ)器的讀寫(xiě)數(shù)據(jù)。P2.7P2.0:這組引腳的第一功能可以作為通用的I/O使用。它的第二功能和P0口引腳的第二功能相配合,用于輸出片外存儲(chǔ)器的高8位地址,共同選中片外存儲(chǔ)器單元,但是并不能像P0口那樣還可以傳送存儲(chǔ)器的讀寫(xiě)數(shù)據(jù)。P3。7-P3.0:這組引腳的第一功能為傳送用戶的輸入/輸出數(shù)據(jù).它的第二功能作為控制用,每個(gè)引腳不盡相同.VCC為+5V電源線,VSS為接地線.ALE/:地址鎖存允許/編程線,配合P0口引腳的第二功能使用,在訪問(wèn)片外存儲(chǔ)器時(shí),8051CPU在P0.7-P0。0引腳線上輸出片外存儲(chǔ)器低8位地址的同時(shí)還在ALE/線上輸出一個(gè)高電位脈沖,其下

14、降沿用于把這個(gè)片外存儲(chǔ)器低8位地址鎖存到外部專用地址鎖存器,以便空出P0.7-P0.0引腳線去傳送隨后而來(lái)的片外存儲(chǔ)器的讀寫(xiě)數(shù)據(jù)./VPP:允許訪問(wèn)片外存儲(chǔ)器/編程電源線,可以控制8051使用片內(nèi)ROM還是片外ROM。如果=1,那么允許使用片內(nèi)ROM;如果=0,那么允許使用片外ROM。XTAL1和XTAL2:片內(nèi)振蕩電路輸入線,這兩個(gè)端子用來(lái)外接石英晶體和微調(diào)電容,即用來(lái)連接8051片內(nèi)OSC的定時(shí)反饋電路.石英晶振起振后,應(yīng)能在XTAL2線上輸出一個(gè)3V左右的正弦波,以便于8051片內(nèi)的OSC電路按石英晶振相同頻率自激振蕩,電容C1、C2可以幫助起振,調(diào)節(jié)它們可以達(dá)到微調(diào)fOSC的目的。三.

15、 硬件電路設(shè)計(jì)3。1 PWM信號(hào)發(fā)生電路設(shè)計(jì)3。1。1 PWM的基本原理PWM(脈沖寬度調(diào)制)是通過(guò)控制固定電壓的直流電源開(kāi)關(guān)頻率,改變負(fù)載兩端的電壓,從而達(dá)到控制要求的一種電壓調(diào)整方法。PWM可以應(yīng)用在許多方面,比如:電機(jī)調(diào)速、溫度控制、壓力控制等等。在PWM驅(qū)動(dòng)控制的調(diào)整系統(tǒng)中,按一個(gè)固定的頻率來(lái)接通和斷開(kāi)電源,并且根據(jù)需要改變一個(gè)周期內(nèi)“接通"和“斷開(kāi)"時(shí)間的長(zhǎng)短.通過(guò)改變直流電機(jī)電樞上電壓的“占空比”來(lái)達(dá)到改變平均電壓大小的目的,從而來(lái)控制電動(dòng)機(jī)的轉(zhuǎn)速。也正因?yàn)槿绱?,PWM又被稱為“開(kāi)關(guān)驅(qū)動(dòng)裝置”。如圖31所示:圖3-1 PWM方波設(shè)電機(jī)始終接通電源時(shí),電機(jī)轉(zhuǎn)速最大

16、為Vmax,設(shè)占空比為D= t1 / T,則電機(jī)的平均速度為Va = Vmax D,其中Va指的是電機(jī)的平均速度;Vmax 是指電機(jī)在全通電時(shí)的最大速度;D = t1 / T是指占空比。由上面的公式可見(jiàn),當(dāng)我們改變占空比D = t1 / T時(shí),就可以得到不同的電機(jī)平均速度Vd,從而達(dá)到調(diào)速的目的。嚴(yán)格來(lái)說(shuō),平均速度Vd 與占空比D并非嚴(yán)格的線性關(guān)系,但是在一般的應(yīng)用中,我們可以將其近似地看成是線性關(guān)系。3.1.2 PWM信號(hào)發(fā)生電路設(shè)計(jì)圖3-2 PWM信號(hào)發(fā)生電路PWM波可以由具有PWM輸出的單片機(jī)通過(guò)編程來(lái)得以產(chǎn)生,也可以采用PWM專用芯片來(lái)實(shí)現(xiàn)。當(dāng)PWM波的頻率太高時(shí),它對(duì)直流電機(jī)驅(qū)動(dòng)的功

17、率管要求太高,而當(dāng)它的頻率太低時(shí),其產(chǎn)生的電磁噪聲就比較大,在實(shí)際應(yīng)用中,當(dāng)PWM波的頻率在18KHz左右時(shí),效果最好。在本系統(tǒng)內(nèi),采用了兩片4位數(shù)值比較器4585和一片12位串行計(jì)數(shù)器4040組成了PWM信號(hào)發(fā)生電路。兩片數(shù)值比較器4585,即圖上U2、U3的A組接12位串行4040計(jì)數(shù)輸出端Q2Q9,而U2、U3的B組接到單片機(jī)的P1端口.只要改變P1端口的輸出值,那么就可以使得PWM信號(hào)的占空比發(fā)生變化,從而進(jìn)行調(diào)速控制.12位串行計(jì)數(shù)器4040的計(jì)數(shù)輸入端CLK接到單片機(jī)C51晶振的振蕩輸出XTAL2。計(jì)數(shù)器4040每來(lái)8個(gè)脈沖,其輸出Q2Q9加1,當(dāng)計(jì)數(shù)值小于或者等于單片機(jī)P1端口輸

18、出值X時(shí),圖中U2的(AB)輸出端保持為低電平,而當(dāng)計(jì)數(shù)值大于單片機(jī)P1端口輸出值X時(shí),圖中U2的(AB)輸出端為高電平.隨著計(jì)數(shù)值的增加,Q2Q9由全“1"變?yōu)槿?”時(shí),圖中U2的(AB)輸出端又變?yōu)榈碗娖?這樣就在U2的(A>B)端得到了PWM的信號(hào),它的占空比為(255 -X / 255)*100,那么只要改變X的數(shù)值,就可以相應(yīng)的改變PWM信號(hào)的占空比,從而進(jìn)行直流電機(jī)的轉(zhuǎn)速控制。使用這個(gè)方法時(shí),單片機(jī)只需要根據(jù)調(diào)整量輸出X的值,而PWM信號(hào)由三片通用數(shù)字電路生成,這樣可以使得軟件大大簡(jiǎn)化,同時(shí)也有利于單片機(jī)系統(tǒng)的正常工作.由于單片機(jī)上電復(fù)位時(shí)P1端口輸出全為“1”,

19、使用數(shù)值比較器4585的B組與P1端口相連,升速時(shí)P0端口輸出X按一定規(guī)律減少,而降速時(shí)按一定規(guī)律增大。3。1。3 PWM發(fā)生電路主要芯片的工作原理1數(shù)據(jù)比較器具有數(shù)據(jù)比較功能的芯片有74LS6828,74LS6838等8位數(shù)值比較器,4位數(shù)值比較器4585等。本PWM發(fā)生電路通過(guò)兩片4位數(shù)值比較器4585就可實(shí)現(xiàn)PWM信號(hào)的產(chǎn)生,因此選用4585作為信號(hào)發(fā)生電路.芯片4585的引腳圖:圖33 4585引腳圖 2串行計(jì)數(shù)器 系統(tǒng)PWM信號(hào)發(fā)生電路中還使用到一片串行計(jì)數(shù)器,有串行計(jì)數(shù)功能的芯片有4024、4040等,它們具有相同的電路結(jié)構(gòu)和邏輯功能,但4024是7位二進(jìn)制串行計(jì)數(shù)器,而芯片404

20、0是一個(gè)12位的二進(jìn)制串行計(jì)數(shù)器,所有計(jì)數(shù)器位為主從觸發(fā)器,計(jì)數(shù)器在時(shí)鐘下降沿進(jìn)行計(jì)數(shù)。當(dāng)CR為高電平時(shí),它對(duì)計(jì)數(shù)器進(jìn)行清零,由于在時(shí)鐘輸入端使用施密特觸發(fā)器,故對(duì)脈沖上升和下降時(shí)間沒(méi)有限制,所有的輸入和輸出均經(jīng)過(guò)緩沖.本系統(tǒng)使用4040作為串行計(jì)數(shù)器,芯片4040的引腳圖如圖2-11所示: 圖3-4 4040引腳圖3.2功率放大驅(qū)動(dòng)電路設(shè)計(jì)功率放大驅(qū)動(dòng)芯片有多種,其中較常用的芯片有IR2110和EXB841,但由于IR2110具有雙通道驅(qū)動(dòng)特性,且電路簡(jiǎn)單,使用方便,價(jià)格相對(duì)EXB841便宜,具有較高的性價(jià)比,且對(duì)于直流電機(jī)調(diào)速使用起來(lái)更加簡(jiǎn)便,因此該驅(qū)動(dòng)電路采用了IR2110集成芯片,使得

21、該集成電路具有較強(qiáng)的驅(qū)動(dòng)能力和保護(hù)功能.3。2.1 芯片IR2110性能及特點(diǎn)IR2110是美國(guó)國(guó)際整流器公司利用自身獨(dú)有的高壓集成電路以及無(wú)閂鎖CMOS技術(shù),于1990年前后開(kāi)發(fā)并且投放市場(chǎng)的,IR2110是一種雙通道高壓、高速的功率器件柵極驅(qū)動(dòng)的單片式集成驅(qū)動(dòng)器。它把驅(qū)動(dòng)高壓側(cè)和低壓側(cè)MOSFET或IGBT所需的絕大部分功能集成在一個(gè)高性能的封裝內(nèi),外接很少的分立元件就能提供極快的功耗,它的特點(diǎn)在于,將輸入邏輯信號(hào)轉(zhuǎn)換成同相低阻輸出驅(qū)動(dòng)信號(hào),可以驅(qū)動(dòng)同一橋臂的兩路輸出,驅(qū)動(dòng)能力強(qiáng),響應(yīng)速度快,工作電壓比較高,可以達(dá)到600V,其內(nèi)設(shè)欠壓封鎖,成本低、易于調(diào)試。高壓側(cè)驅(qū)動(dòng)采用外部自舉電容上電

22、,與其他驅(qū)動(dòng)電路相比,它在設(shè)計(jì)上大大減少了驅(qū)動(dòng)變壓器和電容的數(shù)目,使得MOSFET和IGBT的驅(qū)動(dòng)電路設(shè)計(jì)大為簡(jiǎn)化,而且它可以實(shí)現(xiàn)對(duì)MOSFET和IGBT的最優(yōu)驅(qū)動(dòng),還具有快速完整的保護(hù)功能.與此同時(shí),IR2110的研制成功并且投入應(yīng)用可以極大地提高控制系統(tǒng)的可靠性。降低了產(chǎn)品成本和減少體積。3.2。2 IR2110的引腳圖以及功能IR2110將輸入邏輯信號(hào)轉(zhuǎn)換成同相低阻輸出驅(qū)動(dòng)信號(hào),可以驅(qū)動(dòng)同一橋臂的兩路輸出,驅(qū)動(dòng)能力強(qiáng),響應(yīng)速度快,工作電壓比較高,是目前功率放大驅(qū)動(dòng)電路中使用最多的驅(qū)動(dòng)芯片.其結(jié)構(gòu)也比較簡(jiǎn)單,芯片引腳圖如下所示:圖3-5 IR2110引腳圖3。3主電路設(shè)計(jì)3。3.1 延時(shí)保

23、護(hù)電路利用IR2110芯片的完善設(shè)計(jì)可以實(shí)現(xiàn)延時(shí)保護(hù)電路。IR2110使它自身可對(duì)輸入的兩個(gè)通道信號(hào)之間產(chǎn)生合適的延時(shí),保證了加到被驅(qū)動(dòng)的逆變橋中同橋臂上的兩個(gè)功率MOS器件的驅(qū)動(dòng)信號(hào)之間有一互瑣時(shí)間間隔,因而防止了被驅(qū)動(dòng)的逆變橋中兩個(gè)功率MOS器件同時(shí)導(dǎo)通而發(fā)生直流電源直通路的危險(xiǎn)。3。3。2 主電路從上面的原理可以看出,產(chǎn)生高壓側(cè)門極驅(qū)動(dòng)電壓的前提是低壓側(cè)必須有開(kāi)關(guān)的動(dòng)作,在高壓側(cè)截止期間低壓側(cè)必須導(dǎo)通,才能夠給自舉電容提供充電的通路.因此在這個(gè)電路中,Q1、Q4或者Q2、Q3是不可能持續(xù)、不間斷的導(dǎo)通的.我們可以采取雙PWM信號(hào)來(lái)控制直流電機(jī)的正轉(zhuǎn)以及它的速度。將IC1的HIN端與IC2

24、的LIN端相連,而把IC1的LIN端與IC2的HIN端相連,這樣就使得兩片芯片所輸出的信號(hào)恰好相反。在HIN為高電平期間,Q1、Q4導(dǎo)通,在直流電機(jī)上加正向的工作電壓。其具體的操作步驟如下:當(dāng)IC1的LO為低電平而HO為高電平的時(shí)候,Q2截止,C1上的電壓經(jīng)過(guò)VB、IC內(nèi)部電路和HO端加在Q1的柵極上,從而使得Q1導(dǎo)通。同理,此時(shí)IC2的HO為低電平而LO為高電平,Q3截止,C3上的電壓經(jīng)過(guò)VB、IC內(nèi)部電路和HO端加在Q4的柵極上,從而使得Q4導(dǎo)通。電源經(jīng)Q1至電動(dòng)機(jī)的正極經(jīng)過(guò)整個(gè)直流電機(jī)后再通過(guò)Q4到達(dá)零電位,完成整個(gè)的回路.此時(shí)直流電機(jī)正轉(zhuǎn)。在HIN為低電平期間,LIN端輸入高電平,Q2

25、、Q3導(dǎo)通,在直流電機(jī)上加反向工作電壓。其具體的操作步驟如下:當(dāng)IC1的LO為高電平而HO為低電平的時(shí)候,Q2導(dǎo)通且Q1截止.此時(shí)Q2的漏極近乎于零電平,Vcc通過(guò)D1向C1充電,為Q1的又一次導(dǎo)通作準(zhǔn)備。同理可知,IC2的HO為高電平而LO為低電平,Q3導(dǎo)通且Q4截止,Q3的漏極近乎于零電平,此時(shí)Vcc通過(guò)D2向C3充電,為Q4的又一次導(dǎo)通作準(zhǔn)備。電源經(jīng)Q3至電動(dòng)機(jī)的負(fù)極經(jīng)過(guò)整個(gè)直流電機(jī)后再通過(guò)Q2到達(dá)零電位,完成整個(gè)的回路。此時(shí),直流電機(jī)反轉(zhuǎn)。因此電樞上的工作電壓是雙極性矩形脈沖波形,由于存在著機(jī)械慣性的緣故,電動(dòng)機(jī)轉(zhuǎn)向和轉(zhuǎn)速是由矩形脈沖電壓的平均值來(lái)決定的。設(shè)PWM波的周期為T,HIN為

26、高電平的時(shí)間為t1,這里忽略死區(qū)時(shí)間,那么LIN為高電平的時(shí)間就為T-t1。HIN信號(hào)的占空比為D=t1/T。設(shè)電源電壓為V,那么電樞電壓的平均值為:Vout= t1 - ( T t1 ) V / T = ( 2 t1 T ) V / T = ( 2D 1 )V定義負(fù)載電壓系數(shù)為,= Vout / V, 那么 = 2D 1 ;當(dāng)T為常數(shù)時(shí),改變HIN為高電平的時(shí)間t1,也就改變了占空比D,從而達(dá)到了改變Vout的目的.D在01之間變化,因此在±1之間變化。如果我們聯(lián)系改變,那么便可以實(shí)現(xiàn)電機(jī)正向的無(wú)級(jí)調(diào)速。當(dāng)=0。5時(shí),Vout=0,此時(shí)電機(jī)的轉(zhuǎn)速為0;當(dāng)0。5<<1時(shí),

27、Vout為正,電機(jī)正轉(zhuǎn);當(dāng)=1時(shí),Vout=V,電機(jī)正轉(zhuǎn)全速運(yùn)行。圖3-6 系統(tǒng)主電路3.3。3 輸出電壓波形系統(tǒng)電路經(jīng)過(guò)單片機(jī)控制的PWM信號(hào)產(chǎn)生電路送來(lái)的PWM信號(hào),經(jīng)過(guò)功率放大電路,形成輸出電壓的波形圖如下圖如示:圖3-7 輸出電壓波形3.3。4 系統(tǒng)總體電路圖直流電機(jī)調(diào)速系統(tǒng)總體電路設(shè)計(jì)由單片機(jī)產(chǎn)生控制PWM信號(hào)發(fā)生電路產(chǎn)生PWM信號(hào)的數(shù)據(jù),控制直流電機(jī)調(diào)速電路對(duì)電機(jī)進(jìn)行調(diào)速。圖3-8 系統(tǒng)總休電路圖3。3.5測(cè)速發(fā)電機(jī)測(cè)速發(fā)電機(jī)是一種測(cè)量轉(zhuǎn)速的微型發(fā)電機(jī),他把輸入的機(jī)械轉(zhuǎn)速變換為電壓信號(hào)輸出,并要求輸出的電壓信號(hào)與轉(zhuǎn)速成正比,分為直流與交流兩種。其繞組和磁路經(jīng)過(guò)精確設(shè)計(jì),輸出電動(dòng)勢(shì)E

28、和轉(zhuǎn)速n成線性關(guān)系,即E=kn,其中k是常數(shù).改變旋轉(zhuǎn)方向時(shí),輸出電動(dòng)勢(shì)的極性即相應(yīng)改變.當(dāng)被測(cè)機(jī)構(gòu)與測(cè)速發(fā)電機(jī)同軸連接時(shí),只要檢測(cè)出輸出電動(dòng)勢(shì),即可以獲得被測(cè)機(jī)構(gòu)的轉(zhuǎn)速,所以測(cè)速發(fā)電機(jī)又稱速度傳感器。測(cè)速發(fā)電機(jī)廣泛應(yīng)用于各種速度或者位置控制系統(tǒng),在自動(dòng)控制系統(tǒng)中作為檢測(cè)速度的元件,以調(diào)節(jié)電動(dòng)機(jī)轉(zhuǎn)速或者通過(guò)反饋來(lái)提高系統(tǒng)穩(wěn)定性和精度.3。3。6濾波電路經(jīng)整流后的單向直流或單向脈動(dòng)直流電,都是由強(qiáng)度不變的直流成分和一個(gè)以上的交流成分疊加形成的。為了使脈動(dòng)直流電變得較為平穩(wěn),把其中的交流成分濾掉,叫做濾波。濾波有電容濾波、電感濾波等。本系統(tǒng)中對(duì)直流電采用電容濾波的方式,使得直流電壓變得更加平穩(wěn),調(diào)

29、速更加精確。電路圖如圖39所示:圖3-9 濾波電路3。4 A/D轉(zhuǎn)換3.4.1芯片選型能夠進(jìn)行A/D轉(zhuǎn)換的芯片很多,其中AD系列的有8位A/D轉(zhuǎn)換器ADC0809、AD570、AD670、AD673、AD7574等,TLC系列的有TLC545等,其中較為常用的是ADC0809和TLC545,TLC545是美國(guó)TEXAS儀器公司新推出的一種開(kāi)關(guān)電容結(jié)構(gòu)逐次逼近式8位A/D轉(zhuǎn)換器,具有19個(gè)模擬輸入端.而ADC0809是采樣頻率為8位的、以逐次逼近原理進(jìn)行模數(shù)轉(zhuǎn)換的器件。其內(nèi)部有一個(gè)8通道多路開(kāi)關(guān),它可以根據(jù)地址碼鎖存譯碼后的信號(hào),只選通8路模擬輸入信號(hào)中的一個(gè)進(jìn)行A/D轉(zhuǎn)換,具有地址鎖存控制的8

30、路模擬開(kāi)關(guān),應(yīng)用單一的+5V電源,其模擬量輸入電壓的范圍為0V-+5V,其對(duì)應(yīng)的數(shù)字量輸出為00H-FFH,轉(zhuǎn)換時(shí)間為100s,無(wú)須調(diào)零或者調(diào)整滿量程。因此本系統(tǒng)采用ADC0809作為A/D轉(zhuǎn)換芯片。3。4.2 ADC0809的引腳及其功能ADC0809有28個(gè)引腳,其中IN0-IN7接8路模擬量輸入。ALE是地址鎖存允許,、接基準(zhǔn)電源,在精度要求不太高的情況下,供電電源就可以作為基準(zhǔn)電源.START是芯片的啟動(dòng)引腳,其上脈沖的下降沿起動(dòng)一次新的A/D轉(zhuǎn)換。EOC是轉(zhuǎn)換結(jié)束信號(hào),可以用于向單片機(jī)申請(qǐng)中斷或者供單片機(jī)查詢.OE是輸出允許端。CLK是時(shí)鐘端。DB0-DB7是數(shù)字量的輸出。ADDA、

31、ADDB、ADDC接地址線用以選定8路輸入中的一路,引腳詳見(jiàn)圖310。圖3-10 ADC0809引腳圖4 系統(tǒng)軟件部分的設(shè)計(jì)4.1 PI 轉(zhuǎn)速調(diào)節(jié)器原理圖及參數(shù)計(jì)算圖41 PI 轉(zhuǎn)速調(diào)節(jié)器原理圖按照典型II型系統(tǒng)的參數(shù)選擇方法, 轉(zhuǎn)速調(diào)節(jié)器參數(shù)和電阻電容值關(guān)系如下:Kn = Rn/ R0 n = Rn/ Cn Ton = 1/4 R0 Con參數(shù)求法: 電動(dòng)機(jī) P=10KW U=220V I=55A n=1000轉(zhuǎn)/分 電樞電阻R=0。5歐姆 取濾波電路中Ro=40千歐 Rn=470千歐 Cn=0.2uF Con=1uF 則:Umax=220VUmin=(220/0。9)*0.5=122VYi

32、1=0 W=1000轉(zhuǎn)/分P=Kp=Rn/Ro=11.7I=KpT/Ti=1254。1.1系統(tǒng)中的部分程序設(shè)計(jì)軟件由1個(gè)主程序、1個(gè)中斷子程序和1個(gè)PI控制算法子程序組成。4。2。1 主程序設(shè)計(jì)主程序主程序是一個(gè)循環(huán)程序,其主要思路是,先設(shè)定好速度初始值,這個(gè)初始值與測(cè)速電路送來(lái)的值相比較得到一個(gè)誤差值,然后用PI算法輸出控制系數(shù)給PWM發(fā)生電路改變波形的占空比,進(jìn)而控制電機(jī)的轉(zhuǎn)速.其程序流程圖如圖所示。軟件由1個(gè)主程序、1個(gè)中斷子程序和1個(gè)PI控制算法子程序組成.主程序主程序是一個(gè)循環(huán)程序,其主要思路是由單片機(jī)P1口生數(shù)據(jù)送到PWM信號(hào)發(fā)生電路,然后用PI算法輸出控制系數(shù)給PWM發(fā)生電路改變

33、波形的占空比進(jìn)而控制電機(jī)的轉(zhuǎn)速. 脈沖調(diào)制PWM開(kāi)關(guān)型穩(wěn)壓電路是在控制電路輸出頻率不變的情況下,通過(guò)電壓反饋調(diào)整其占空比,從而達(dá)到穩(wěn)定輸出電壓的目的。通俗說(shuō)的PWM就是波形,波形的波峰與波谷的關(guān)系成為占空比,可以通過(guò)PWM來(lái)控制電機(jī).AVR單片機(jī)可以輕松實(shí)現(xiàn)PWM功能。ATmega16的timer0和timer2都具有PWM功能,timer0和timer2都為8位定時(shí)器。timer2為異步操作定時(shí)器,在操作過(guò)程中要等待寄存器狀態(tài)更改完成。本設(shè)計(jì)中設(shè)定使用timer0來(lái)實(shí)現(xiàn)PWM功能。OC0 output mode 設(shè)定了PWM輸出控制選擇:正常的端口操作,不與OC0 相連接, 比較匹配發(fā)生時(shí)O

34、C0 取反, 比較匹配發(fā)生時(shí)OC0 清零, 比較匹配發(fā)生時(shí)OC0 置位。Waveform mode設(shè)定了波形產(chǎn)生模式:比較匹配輸出模式,快速PWM 模式,相位修正PWM 模式。程序代碼如下:include #include void port_init(void) PORTA = 0x00; DDRA = 0x00; DDRB = 0x08; /PB3為PWM輸出,非常重要,否則無(wú)法輸出波形 DDRB = 0x00; PORTC = 0x00; /m103 output only DDRC = 0x00; PORTD = 0x00; DDRD = 0x00;/TIMER0 initialize

35、 prescale:64/ WGM: Normal/ desired value: 1KHz/ actual value: 1.002KHz (0.2%)void timer0_init(void) TCCR0 = 0x00; /stop TCNT0 = 0x8D; /設(shè)置定時(shí)器計(jì)數(shù)值 /TCNT0*/ OCR0 = 0x73; /設(shè)置定時(shí)器比較的值 /OCR0/ TCCR0 = 0x23; /初始化控制 timer0的寄存器/TCCR0*/#pragma interrupt_handler timer0_comp_isr:20void timer0_comp_isr(void) /compa

36、re occured TCNT0=OCR0#pragma interrupt_handler timer0_ovf_isr:10void timer0_ovf_isr(void) TCNT0 = 0x8D; /reload counter value/call this routine to initialize all peripheralsvoid init_devices(void) /stop errant interrupts until set up CLI(); /disable all interrupts port_init(); timer0_init(); MCUCR =

37、 0x00; GICR = 0x00; TIMSK = 0x03; /定時(shí)器中斷選項(xiàng) /*TIMSK*/ SEI(); /reenable interrupts /all peripherals are now initializedvoid main(void) /加上這些,程序就可以運(yùn)行了./ init_devices(); while(1) ;程序中幾個(gè)關(guān)鍵的寄存器的意義:1。TCNT0:定時(shí)器計(jì)數(shù)值,定時(shí)過(guò)程中不斷增大,溢出后重新置數(shù),開(kāi)始下一輪。 2。OCR0:定時(shí)器比較的值,當(dāng)TCNT0 OCR0時(shí),會(huì)產(chǎn)生timer0_comp_isr中斷。 3.TCCR0:控制timer0的寄存

38、器。4.TIMSK:定時(shí)器中斷選項(xiàng),這里允許timer0比較中斷,溢出中斷主程序流程圖如圖42所示:圖42 主程序流程圖4。2.2 PI控制算法子程序設(shè)計(jì)/*PI控制算法子程序*/void PID_work()negsum=0;possum=0;if(BJ=0) possum+=k1; temp2=temp2+temp0; else negsum+=k1; temp2=temp2-temp0; k3=temp2/10; if(possumnegsum) k2=possumnegsum; /存儲(chǔ)結(jié)果 CY=0; temp1=k3+k1; /誤差積累, if(CY=1) /16位判斷。 UK=0x

39、fe; else UK=k1+k3; elseUK=1;P3=UK; 初始化定時(shí)器開(kāi)始工作TCNT0逐漸增大,在預(yù)分頻這么多個(gè)時(shí)鐘周期里變化一次TCNT0的值與OCR0的值比較TCNT0溢出,產(chǎn)生波形TCNT0復(fù)位下一次定時(shí)操作圖4.3 PWM波形的產(chǎn)生流程圖開(kāi)始計(jì)算控制參數(shù)A、B、C初始值e (k-1)=e (k-2)=0本次采樣輸入c (k)計(jì)算偏差值e (k)=r (k)-c (k)計(jì)算控制量u (k)=Ae(k)-Be(k-1)+Ce(k-2)輸出u (k)為下一時(shí)刻做準(zhǔn)備e(k-1)àe(k-2),e(k)àe(k-1)采樣時(shí)間到了嗎NYD/A轉(zhuǎn)換被控對(duì)象A/D轉(zhuǎn)

40、換 圖4.4 PID算法流程圖 五.系統(tǒng)調(diào)試5。1 硬件調(diào)試 硬件調(diào)試是利用開(kāi)發(fā)系統(tǒng)、基本測(cè)試儀器,通過(guò)執(zhí)行開(kāi)發(fā)系統(tǒng)有關(guān)命令或運(yùn)行適當(dāng)?shù)臏y(cè)試程序,檢查用戶系統(tǒng)硬件中存在的故障。硬件調(diào)試可分為靜態(tài)調(diào)試和動(dòng)態(tài)調(diào)試。5.1。1 靜態(tài)調(diào)試 首先,對(duì)每一塊加工好的印刷電路板要仔細(xì)的檢查,檢查它的印制線是否斷線,是否有毛刺,是否與其他印制線或焊盤或過(guò)孔粘連,焊盤是否脫落,過(guò)孔是否有未金屬化等現(xiàn)象,查出的故障及時(shí)排除.然后用萬(wàn)用表復(fù)核認(rèn)為可疑的連接線或接點(diǎn),檢查它們的通斷狀態(tài)是否與設(shè)計(jì)規(guī)格相符。再檢查各種電源線與地線之間是否有短路現(xiàn)象并排除。最后,加電檢查,加電過(guò)程中細(xì)心觀察芯片或器件是否出現(xiàn)打火、過(guò)熱、變

41、色、冒煙和異味等現(xiàn)象,如有,立即斷電檢查。5.1.2 動(dòng)態(tài)調(diào)試 首先按邏輯功能將用戶系統(tǒng)硬件電路分為若干模塊進(jìn)行單獨(dú)調(diào)試.調(diào)試某模塊時(shí)將整個(gè)電路板中與該模塊無(wú)關(guān)的器件全部拔除,當(dāng)各獨(dú)立模塊調(diào)試無(wú)故障后,然后逐步將接近的相關(guān)模塊加入到應(yīng)用系統(tǒng)中,并每加入一個(gè)模塊后再對(duì)各模塊功能進(jìn)行調(diào)試,若在這個(gè)過(guò)程中出現(xiàn)故障,則基本上是各模塊協(xié)調(diào)關(guān)系上出了問(wèn)題.5。2軟件調(diào)試在程序編寫(xiě)的過(guò)程中,出現(xiàn)了很多問(wèn)題,包括鍵盤掃描處理、PWM信號(hào)發(fā)生電路的控制、以及單片機(jī)控制直流電機(jī)的轉(zhuǎn)動(dòng)方向等問(wèn)題,雖然問(wèn)題不是很大,但是也讓我研究了好長(zhǎng)時(shí)間,在解決這些問(wèn)題的時(shí)候,我不斷向老師和同學(xué)請(qǐng)教,希望能通過(guò)大家一塊的努力把軟件

42、編寫(xiě)的更完整,讓系統(tǒng)的功能更完備。經(jīng)過(guò)多天的努力探索,也經(jīng)過(guò)老師的指導(dǎo),大部分問(wèn)題都已經(jīng)解決,就是程序還是不能實(shí)現(xiàn)應(yīng)該實(shí)現(xiàn)的功能,這讓我很著急。后來(lái)經(jīng)過(guò)一點(diǎn)一點(diǎn)的調(diào)試,并認(rèn)真總結(jié),發(fā)現(xiàn)了問(wèn)題其實(shí)在編寫(xiě)中斷處理程序時(shí)出現(xiàn)了錯(cuò)誤,修改后即可實(shí)現(xiàn)直流電機(jī)調(diào)速的目的.總結(jié)這次軟件調(diào)試,讓我認(rèn)識(shí)到了做軟件調(diào)試的基本方法與流程:(1)認(rèn)真檢查源代碼,看是否有文字或語(yǔ)法錯(cuò)誤(2)逐段子程序進(jìn)行設(shè)計(jì),找出錯(cuò)誤出現(xiàn)的部分,重點(diǎn)排查(3)找到合適的方法,仔細(xì)檢查程序,分步調(diào)試直到運(yùn)行成功5.3系統(tǒng)仿真仿真軟件選擇Proteus ,在Proteus中畫(huà)出系統(tǒng)電路圖,當(dāng)程序在Keil C中調(diào)試通過(guò)后,會(huì)生成以hex為擴(kuò)展名的文件,這就是使系統(tǒng)能夠在Proteus中成功進(jìn)行仿真的文件.將些文件加載到單片機(jī)仿真系統(tǒng)中,驗(yàn)證是否能完成對(duì)直流電機(jī)的速度調(diào)節(jié)。若不成功,則重新回到軟件調(diào)試步驟,進(jìn)行軟件調(diào)試。找出錯(cuò)誤所在,更正后重新運(yùn)行系統(tǒng)。硬件仿真電路的設(shè)計(jì)完全按照論文設(shè)計(jì)方案進(jìn)行。在仿真的過(guò)程中也遇到了很多問(wèn)題,比如元件選擇、電路設(shè)計(jì)等,在元件選擇方面,有的芯片是我以前學(xué)習(xí)的時(shí)候所沒(méi)有遇到過(guò)的,所以在尋

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論