數(shù)字電子技術(shù)基礎(chǔ)總結(jié)_第1頁(yè)
數(shù)字電子技術(shù)基礎(chǔ)總結(jié)_第2頁(yè)
數(shù)字電子技術(shù)基礎(chǔ)總結(jié)_第3頁(yè)
數(shù)字電子技術(shù)基礎(chǔ)總結(jié)_第4頁(yè)
數(shù)字電子技術(shù)基礎(chǔ)總結(jié)_第5頁(yè)
已閱讀5頁(yè),還剩60頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、總總 結(jié)結(jié)組合邏輯電路組合邏輯電路時(shí)序邏輯電路時(shí)序邏輯電路1.特點(diǎn)特點(diǎn),分析分析,設(shè)計(jì)設(shè)計(jì),2.常用功能器件常用功能器件:定義定義,功能功能,集成芯片應(yīng)用集成芯片應(yīng)用編碼器編碼器,譯碼器譯碼器,數(shù)據(jù)選擇器數(shù)據(jù)選擇器,數(shù)據(jù)分配器數(shù)據(jù)分配器,比較器比較器,加法器加法器1.特點(diǎn)特點(diǎn),分析分析,設(shè)計(jì)設(shè)計(jì),2.常用功能器件常用功能器件:定義定義,功能功能,集成芯片應(yīng)用集成芯片應(yīng)用計(jì)數(shù)器計(jì)數(shù)器,寄存器寄存器門電路構(gòu)成門電路構(gòu)成觸發(fā)器電路構(gòu)成觸發(fā)器電路構(gòu)成簡(jiǎn)化簡(jiǎn)化:邏輯代數(shù)邏輯代數(shù)第一章第一章 數(shù)字電路基礎(chǔ)數(shù)字電路基礎(chǔ)基本要求基本要求1. 正確理解以下基本概念:正邏輯、負(fù)邏輯、數(shù)制與正確理解以下基本概念:正

2、邏輯、負(fù)邏輯、數(shù)制與碼制、二極管與三極管的開關(guān)作用和開關(guān)特性、邏輯碼制、二極管與三極管的開關(guān)作用和開關(guān)特性、邏輯變量、邏輯函數(shù)、變量、邏輯函數(shù)、“與、或、非與、或、非”基本邏輯關(guān)系?;具壿嬯P(guān)系。2. 熟練掌握三極管三種工作狀態(tài)的特點(diǎn)及判別方法。熟練掌握三極管三種工作狀態(tài)的特點(diǎn)及判別方法。3. 熟練掌握邏輯函數(shù)的幾種表示方法(真值表、表達(dá)熟練掌握邏輯函數(shù)的幾種表示方法(真值表、表達(dá)式、邏輯圖),并會(huì)相互轉(zhuǎn)換。式、邏輯圖),并會(huì)相互轉(zhuǎn)換。(1) (54)D =(0101,0100)8421 =(1011,0100)2421(2) (87.15)D =(1000,0111.0001,0101)84

3、21 =(1110,1101.0001,1011)2421(3) (239.03)D =(0010,0011,1001.0000,0011)8421 =(0010,0011,1111.0000,0011)2421 +VO6V+VO5VO+V-3VO0V+VO+V-3V123123123123123CCC( +12V)RbRV=50R( +5V)Rb=50CVCC=50CCRC( +15V)Rb1VRb2V( +12V)bCCR=50RCCC=50Rb2CRRV( +12V)b1(a)(b)(c)(d)(e)1k53k3k30k1k20k1k15k51k2k15k51k基本要求基本要求1. 正確

4、理解以下基本概念:正確理解以下基本概念:推拉式輸出、線與、高阻態(tài)。推拉式輸出、線與、高阻態(tài)。2. 熟練掌握各種門電路的邏輯功能。熟練掌握各種門電路的邏輯功能。3. 熟悉各種門電路的結(jié)構(gòu)、工作原理、主要參數(shù)熟悉各種門電路的結(jié)構(gòu)、工作原理、主要參數(shù)及應(yīng)用中注意的問題。及應(yīng)用中注意的問題。+VLABCL+VLAB+V+VLBAADDT123T123123T123DDD123T123(a)RCCC21(b)4RCCRCRbR(c)bRCCRCCCRb1R112Rb2TT1212334(d)CDABL1 ABL 2 CABL3+V&RPCD&LCCBA1B&A=1VCCL2&am

5、p;L3CBA1+5VEN&EN&1R=1L1L2L3L4ABCD電路如圖所示,試用表格方式列出各門電路的名稱,輸電路如圖所示,試用表格方式列出各門電路的名稱,輸出邏輯表達(dá)式以及當(dāng)出邏輯表達(dá)式以及當(dāng)ABCD=1001時(shí),各輸出函數(shù)的值。時(shí),各輸出函數(shù)的值。 基本要求基本要求1.正確理解以下基本概念:邏輯變量、邏輯函數(shù)、正確理解以下基本概念:邏輯變量、邏輯函數(shù)、“與、或、非與、或、非”基本邏輯關(guān)系、競(jìng)爭(zhēng)冒險(xiǎn)?;具壿嬯P(guān)系、競(jìng)爭(zhēng)冒險(xiǎn)。2.熟練掌握邏輯函數(shù)的幾種常用的表示方法:真值熟練掌握邏輯函數(shù)的幾種常用的表示方法:真值表、邏輯表達(dá)式、邏輯圖、卡諾圖。并能熟練的相表、邏輯表達(dá)式、邏

6、輯圖、卡諾圖。并能熟練的相互轉(zhuǎn)換。互轉(zhuǎn)換。3. 熟練掌握邏輯代數(shù)基本定律、基本運(yùn)算規(guī)則,能熟練掌握邏輯代數(shù)基本定律、基本運(yùn)算規(guī)則,能夠熟練用其對(duì)邏輯函數(shù)進(jìn)行代數(shù)化簡(jiǎn)及表達(dá)式轉(zhuǎn)換。夠熟練用其對(duì)邏輯函數(shù)進(jìn)行代數(shù)化簡(jiǎn)及表達(dá)式轉(zhuǎn)換。4. 熟練掌握卡諾圖化簡(jiǎn)法。熟練掌握卡諾圖化簡(jiǎn)法。5.熟練掌握組合邏輯電路的分析方法和設(shè)計(jì)方法。熟練掌握組合邏輯電路的分析方法和設(shè)計(jì)方法。 基本邏輯關(guān)系小結(jié)基本邏輯關(guān)系小結(jié) 邏輯邏輯 符號(hào)符號(hào) 表示式表示式與與&ABYABY1或或非非1YAY=ABY=A+B與非與非&ABY或非或非ABY1異或異或=1ABYY= A BAY ABY BAY組合邏輯電路的分析步

7、驟:組合邏輯電路的分析步驟:組合邏輯電路分析方法組合邏輯電路分析方法1、 由邏輯圖寫出各輸出端的邏輯表達(dá)式;由邏輯圖寫出各輸出端的邏輯表達(dá)式;2、 化簡(jiǎn)和變換邏輯表達(dá)式;化簡(jiǎn)和變換邏輯表達(dá)式;3、 列出真值表;列出真值表;4、 根據(jù)真值表或邏輯表達(dá)式,經(jīng)分析最后確定其功能。根據(jù)真值表或邏輯表達(dá)式,經(jīng)分析最后確定其功能。根據(jù)已知邏輯電路,經(jīng)分析確定電路的的邏輯功能。根據(jù)已知邏輯電路,經(jīng)分析確定電路的的邏輯功能。1 1、邏輯抽象(約定):根據(jù)實(shí)際邏輯問題的因果關(guān)系確、邏輯抽象(約定):根據(jù)實(shí)際邏輯問題的因果關(guān)系確定輸入、輸出變量,并定義邏輯狀態(tài)的含義;定輸入、輸出變量,并定義邏輯狀態(tài)的含義;2、根

8、據(jù)邏輯描述列出真值表;根據(jù)邏輯描述列出真值表;3、由真值表寫出邏輯表達(dá)式由真值表寫出邏輯表達(dá)式; ;5、 畫出邏輯圖。畫出邏輯圖。4、根據(jù)器件的類型根據(jù)器件的類型, ,簡(jiǎn)化和變換邏輯表達(dá)式簡(jiǎn)化和變換邏輯表達(dá)式一、組合邏輯電路的設(shè)計(jì)步驟一、組合邏輯電路的設(shè)計(jì)步驟 根據(jù)實(shí)際邏輯問題,求出所要求邏輯功能的最簡(jiǎn)單邏輯電路。根據(jù)實(shí)際邏輯問題,求出所要求邏輯功能的最簡(jiǎn)單邏輯電路。組合邏輯電路的設(shè)計(jì)組合邏輯電路的設(shè)計(jì)設(shè)計(jì)一個(gè)故障指示電路,具體要求為:設(shè)計(jì)一個(gè)故障指示電路,具體要求為:(1)兩臺(tái)電動(dòng)機(jī)同時(shí)工作時(shí),綠燈亮;)兩臺(tái)電動(dòng)機(jī)同時(shí)工作時(shí),綠燈亮;(2)一臺(tái)電動(dòng)機(jī)發(fā)生故障時(shí),黃燈亮;)一臺(tái)電動(dòng)機(jī)發(fā)生故障時(shí)

9、,黃燈亮;(3)兩臺(tái)電動(dòng)機(jī)同時(shí)發(fā)生故障時(shí),紅燈亮。)兩臺(tái)電動(dòng)機(jī)同時(shí)發(fā)生故障時(shí),紅燈亮。解解 1.1.設(shè)定設(shè)定A A、B B分別表示兩臺(tái)分別表示兩臺(tái)電動(dòng)機(jī)這兩個(gè)邏輯變量,電動(dòng)機(jī)這兩個(gè)邏輯變量,F(xiàn) F綠、綠、F F黃、黃、F F紅分別表示綠燈、紅分別表示綠燈、黃燈、紅燈;且用黃燈、紅燈;且用0 0表示電表示電動(dòng)機(jī)正常工作,動(dòng)機(jī)正常工作,1 1表示電動(dòng)表示電動(dòng)機(jī)發(fā)生故障;機(jī)發(fā)生故障;1 1表示燈亮,表示燈亮,0 0表示燈滅。表示燈滅。 2.2.建立真值表建立真值表 按設(shè)計(jì)要求可得真值表按設(shè)計(jì)要求可得真值表 ABF綠F黃F紅001000101010010110013. 根據(jù)真值表求得輸出邏輯函數(shù)的表達(dá)

10、式根據(jù)真值表求得輸出邏輯函數(shù)的表達(dá)式BAF綠綠BABABAF黃黃ABF紅紅4. 化簡(jiǎn)上述邏輯函數(shù)表達(dá)式,并轉(zhuǎn)換成適當(dāng)?shù)男问?。由于上化?jiǎn)上述邏輯函數(shù)表達(dá)式,并轉(zhuǎn)換成適當(dāng)?shù)男问?。由于上述邏輯函?shù)的表達(dá)式都是最簡(jiǎn)了,所以不用再化簡(jiǎn)。述邏輯函數(shù)的表達(dá)式都是最簡(jiǎn)了,所以不用再化簡(jiǎn)。 5. 根據(jù)邏輯函數(shù)表根據(jù)邏輯函數(shù)表達(dá)式畫出邏輯電達(dá)式畫出邏輯電路圖。路圖?;疽蠡疽?.熟練掌握譯碼器、編碼器、數(shù)據(jù)選擇器、數(shù)值比熟練掌握譯碼器、編碼器、數(shù)據(jù)選擇器、數(shù)值比較器的邏輯功能及常用中規(guī)模集成電路的應(yīng)用。較器的邏輯功能及常用中規(guī)模集成電路的應(yīng)用。2.熟練掌握半加器、全加器的邏輯功能,設(shè)計(jì)方法。熟練掌握半加器、

11、全加器的邏輯功能,設(shè)計(jì)方法。3.正確理解以下基本概念:正確理解以下基本概念: 編碼、譯碼、組合邏輯電路、時(shí)序邏輯電路。編碼、譯碼、組合邏輯電路、時(shí)序邏輯電路。用譯碼器實(shí)現(xiàn)邏輯函數(shù)的步驟用譯碼器實(shí)現(xiàn)邏輯函數(shù)的步驟o 1.1.寫出邏輯函數(shù)的最小項(xiàng)和的形式;寫出邏輯函數(shù)的最小項(xiàng)和的形式;o 2.2.將邏輯函數(shù)的最小項(xiàng)和的表達(dá)式變換成與非將邏輯函數(shù)的最小項(xiàng)和的表達(dá)式變換成與非與非式;與非式;o 3.3.畫出接線圖。畫出接線圖。o 4.4.如果函數(shù)為如果函數(shù)為4 4變量函數(shù),用變量函數(shù),用3/83/8線譯碼器實(shí)現(xiàn),線譯碼器實(shí)現(xiàn),則需先用兩片則需先用兩片3/83/8線譯碼器擴(kuò)展成線譯碼器擴(kuò)展成4/164/

12、16線譯碼器,線譯碼器,在此基礎(chǔ)上進(jìn)行以上步驟。在此基礎(chǔ)上進(jìn)行以上步驟。例例1 1 試用譯碼器和門電路實(shí)現(xiàn)邏輯函數(shù):試用譯碼器和門電路實(shí)現(xiàn)邏輯函數(shù):ACBCABLABCCABCBABCAL7653mmmm解:解:將邏輯函數(shù)轉(zhuǎn)換成最小項(xiàng)表達(dá)式,將邏輯函數(shù)轉(zhuǎn)換成最小項(xiàng)表達(dá)式,再轉(zhuǎn)換成與非再轉(zhuǎn)換成與非與非形式。與非形式。=m3+m5+m6+m7=用一片用一片7413874138加一個(gè)與非門加一個(gè)與非門就可實(shí)現(xiàn)該邏輯函數(shù)。就可實(shí)現(xiàn)該邏輯函數(shù)。1G0A74138G2A2B12AGAY1YYY2YYY73Y4560ABC100L&利用利用8 8選選1 1數(shù)據(jù)選擇器組成函數(shù)產(chǎn)生器的一般步驟數(shù)據(jù)選擇器

13、組成函數(shù)產(chǎn)生器的一般步驟a a、將函數(shù)變換成最小項(xiàng)表達(dá)式、將函數(shù)變換成最小項(xiàng)表達(dá)式b b、使器件處于使能狀態(tài)、使器件處于使能狀態(tài)c c、地址、地址信號(hào)信號(hào)S2、 S1 、 S0 作為函數(shù)的輸入變量作為函數(shù)的輸入變量d d、處理數(shù)據(jù)輸入、處理數(shù)據(jù)輸入D0D7信號(hào)電平。邏輯表達(dá)式中有信號(hào)電平。邏輯表達(dá)式中有mi ,則相應(yīng)則相應(yīng)Di =1,其他的數(shù)據(jù)輸入端均為,其他的數(shù)據(jù)輸入端均為0。 要實(shí)現(xiàn)的邏輯函數(shù)中的變量個(gè)數(shù)與數(shù)據(jù)選擇器的地址輸入端要實(shí)現(xiàn)的邏輯函數(shù)中的變量個(gè)數(shù)與數(shù)據(jù)選擇器的地址輸入端的個(gè)數(shù)相同,將變量與數(shù)據(jù)選擇器的地址輸入端一一對(duì)應(yīng)即可。的個(gè)數(shù)相同,將變量與數(shù)據(jù)選擇器的地址輸入端一一對(duì)應(yīng)即可。

14、 如果要實(shí)現(xiàn)的邏輯函數(shù)中的變量個(gè)數(shù)與數(shù)據(jù)選擇器的地址輸如果要實(shí)現(xiàn)的邏輯函數(shù)中的變量個(gè)數(shù)與數(shù)據(jù)選擇器的地址輸入端的個(gè)數(shù)不同,不能用前述的簡(jiǎn)單辦法。應(yīng)分離出多余的變量,入端的個(gè)數(shù)不同,不能用前述的簡(jiǎn)單辦法。應(yīng)分離出多余的變量,把它們加到適當(dāng)?shù)臄?shù)據(jù)輸入端。把它們加到適當(dāng)?shù)臄?shù)據(jù)輸入端。例例2 2 試用試用8 8選選1 1數(shù)據(jù)選擇器數(shù)據(jù)選擇器74X15174X151實(shí)現(xiàn)單輸出組合邏輯函數(shù)實(shí)現(xiàn)單輸出組合邏輯函數(shù)o 解法一解法一: DCBACDBADBCADABCABCDDCBADABCCDBAABCDDBCADABCABCDACDBCABCDLACDBCABCDL11)()(),(53753755377m

15、DmmDDmDmDDmDmDmDmDmDmDCBAL其中:其中:S S2 2=A,S=A,S1 1=B,S=B,S0 0=C=CDD37766554433221100DmDmDmDmDmDmDmDmY 比較比較Y Y與與L L,當(dāng),當(dāng) D D5 5= =D D7 7= 1 , = 1 , D D0 0= =D D1 1= =D D2 2= =D D4 4=0=0時(shí)時(shí)Y=L11),(537mDmmDCBAL由譯碼器由譯碼器74138和和8選選1數(shù)據(jù)選擇器數(shù)據(jù)選擇器74151組成如圖所示組成如圖所示的邏輯電路。的邏輯電路。X2X1X0及及Z2Z1Z0為兩個(gè)三位二進(jìn)制數(shù)。試分析為兩個(gè)三位二進(jìn)制數(shù)。試

16、分析電路的邏輯功能。電路的邏輯功能。Y01Y2Y3Y4Y5Y6Y7Y0AAA12G1G2B7413810D0D1D2D3D4D5D6D774151G2AA1A2A0YX1X2X0Z1Z2Z0G 試用試用8選選1數(shù)據(jù)選擇器數(shù)據(jù)選擇器74151和門電路設(shè)和門電路設(shè)計(jì)一個(gè)四位二進(jìn)制碼奇偶校驗(yàn)器。要求當(dāng)計(jì)一個(gè)四位二進(jìn)制碼奇偶校驗(yàn)器。要求當(dāng)輸入的四位二進(jìn)制碼中有奇數(shù)個(gè)輸入的四位二進(jìn)制碼中有奇數(shù)個(gè)1時(shí),輸時(shí),輸出為出為1,否則為,否則為0。 解解 設(shè)四位二進(jìn)制碼設(shè)四位二進(jìn)制碼ABCD為輸入邏輯為輸入邏輯變量,校驗(yàn)結(jié)果變量,校驗(yàn)結(jié)果L為輸出邏輯變量。所對(duì)為輸出邏輯變量。所對(duì)應(yīng)的奇偶校驗(yàn)器的邏輯關(guān)系真值表。應(yīng)

17、的奇偶校驗(yàn)器的邏輯關(guān)系真值表。A B C DL0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1011010011001011041326D7YA0DA ALYDCDDDDBA01G5D741512D基本要求基本要求1.熟練掌握各類觸發(fā)器的邏輯功能(功能表、特性方熟練掌握各類觸發(fā)器的邏輯功能(功能表、特性方程、狀態(tài)轉(zhuǎn)換圖、驅(qū)動(dòng)表)。程、狀態(tài)轉(zhuǎn)換圖、驅(qū)動(dòng)表)。2. 熟練掌握各種不同結(jié)構(gòu)的觸發(fā)器的觸發(fā)特點(diǎn),并能熟練掌握各種不同

18、結(jié)構(gòu)的觸發(fā)器的觸發(fā)特點(diǎn),并能夠熟練畫出工作波形。夠熟練畫出工作波形。3.熟悉觸發(fā)器的主要參數(shù)。熟悉觸發(fā)器的主要參數(shù)。4.熟悉各類觸發(fā)器間的相互轉(zhuǎn)換。熟悉各類觸發(fā)器間的相互轉(zhuǎn)換。5.了解各類觸發(fā)器的結(jié)構(gòu)和工作原理。了解各類觸發(fā)器的結(jié)構(gòu)和工作原理。 1 寫出圖示各電路的狀態(tài)方程。寫出圖示各電路的狀態(tài)方程。 2 觸發(fā)器的狀態(tài)轉(zhuǎn)換圖如圖所示,寫出該觸發(fā)器的特性方觸發(fā)器的狀態(tài)轉(zhuǎn)換圖如圖所示,寫出該觸發(fā)器的特性方程,如用程,如用JK觸發(fā)器實(shí)現(xiàn)同樣的功能,寫出相應(yīng)的邏輯關(guān)系表達(dá)觸發(fā)器實(shí)現(xiàn)同樣的功能,寫出相應(yīng)的邏輯關(guān)系表達(dá)式,并畫出電路圖。式,并畫出電路圖。 第五章第五章 時(shí)序邏輯電路時(shí)序邏輯電路基本要求基本

19、要求1.正確理解以下基本概念:組合邏輯電路、時(shí)序邏正確理解以下基本概念:組合邏輯電路、時(shí)序邏輯電路、同步和異步、計(jì)數(shù)和分頻。輯電路、同步和異步、計(jì)數(shù)和分頻。2.熟練掌握二進(jìn)制、十進(jìn)制計(jì)數(shù)器的工作原理、邏熟練掌握二進(jìn)制、十進(jìn)制計(jì)數(shù)器的工作原理、邏輯功能;二進(jìn)制計(jì)數(shù)器的設(shè)計(jì)方法。輯功能;二進(jìn)制計(jì)數(shù)器的設(shè)計(jì)方法。3. 了解時(shí)序邏輯電路的分析方法和設(shè)計(jì)方法。了解時(shí)序邏輯電路的分析方法和設(shè)計(jì)方法。時(shí)序邏輯電路時(shí)序邏輯電路分析分析的一般步驟的一般步驟: :1.1.觀察電路的結(jié)構(gòu),觀察電路的結(jié)構(gòu),確定電路是同步時(shí)序邏輯電路還是確定電路是同步時(shí)序邏輯電路還是異步時(shí)序邏輯電路,是米里型電路還是莫爾型電路。異步時(shí)

20、序邏輯電路,是米里型電路還是莫爾型電路。.確定電路的邏輯功能確定電路的邏輯功能.3.列出狀態(tài)轉(zhuǎn)換表或畫出狀態(tài)圖和波形圖;列出狀態(tài)轉(zhuǎn)換表或畫出狀態(tài)圖和波形圖; 2. 根據(jù)給定的時(shí)序電路圖根據(jù)給定的時(shí)序電路圖,寫出下列各邏輯方程式:寫出下列各邏輯方程式:(1)(1)寫出各觸發(fā)器的時(shí)鐘方程。寫出各觸發(fā)器的時(shí)鐘方程。(2)(2)寫出時(shí)序邏輯電路的輸出方程。寫出時(shí)序邏輯電路的輸出方程。(3)(3)寫出各觸發(fā)器的驅(qū)動(dòng)方程。寫出各觸發(fā)器的驅(qū)動(dòng)方程。(4)(4)將各觸發(fā)器的驅(qū)動(dòng)方程代入其特性方程,求得各觸發(fā)器的次態(tài)方將各觸發(fā)器的驅(qū)動(dòng)方程代入其特性方程,求得各觸發(fā)器的次態(tài)方程程. . 由由給給定定的的邏邏輯輯功

21、功能能建建立立原原始始狀狀態(tài)態(tài)圖圖和和原原始始狀狀態(tài)態(tài)表表 狀狀態(tài)態(tài) 化化簡(jiǎn)簡(jiǎn) 狀狀態(tài)態(tài) 分分配配 選選擇擇 觸觸發(fā)發(fā)器器類類型型 確確定定 激激勵(lì)勵(lì)方方程程組組 和和 輸輸出出方方程程組組 畫畫出出 邏邏輯輯圖圖并并檢檢查查自自啟啟動(dòng)動(dòng)能能力力 同步時(shí)序電路的設(shè)計(jì)過程同步時(shí)序電路的設(shè)計(jì)過程(1) )根據(jù)給定的邏輯功能建立原始狀態(tài)圖和原始狀態(tài)表根據(jù)給定的邏輯功能建立原始狀態(tài)圖和原始狀態(tài)表(2)狀態(tài)化簡(jiǎn)狀態(tài)化簡(jiǎn)-求出最簡(jiǎn)狀態(tài)圖求出最簡(jiǎn)狀態(tài)圖 ;合并等價(jià)狀態(tài),消去多余狀態(tài)的過程稱為狀態(tài)化簡(jiǎn)合并等價(jià)狀態(tài),消去多余狀態(tài)的過程稱為狀態(tài)化簡(jiǎn)等價(jià)狀態(tài)等價(jià)狀態(tài):在相同的輸入下有相同的輸出,并轉(zhuǎn)換到同在相同的輸

22、入下有相同的輸出,并轉(zhuǎn)換到同一個(gè)次態(tài)去的兩個(gè)狀態(tài)稱為等價(jià)狀態(tài)。一個(gè)次態(tài)去的兩個(gè)狀態(tài)稱為等價(jià)狀態(tài)。明確電路的輸入條件和相應(yīng)的輸出要求,分別確定輸入變量明確電路的輸入條件和相應(yīng)的輸出要求,分別確定輸入變量和輸出變量的數(shù)目和符號(hào)。和輸出變量的數(shù)目和符號(hào)。找出所有可能的狀態(tài)和狀態(tài)轉(zhuǎn)換之間的關(guān)系。找出所有可能的狀態(tài)和狀態(tài)轉(zhuǎn)換之間的關(guān)系。根據(jù)原始狀態(tài)圖建立原始狀態(tài)表。根據(jù)原始狀態(tài)圖建立原始狀態(tài)表。(3)狀態(tài)編碼(狀態(tài)分配);狀態(tài)編碼(狀態(tài)分配);(4)選擇觸發(fā)器的類型選擇觸發(fā)器的類型(6)畫出邏輯圖并檢查自啟動(dòng)能力。畫出邏輯圖并檢查自啟動(dòng)能力。給每個(gè)狀態(tài)賦以二進(jìn)制代碼的過程。給每個(gè)狀態(tài)賦以二進(jìn)制代碼的過程

23、。根據(jù)狀態(tài)數(shù)確定觸發(fā)器的個(gè)數(shù),根據(jù)狀態(tài)數(shù)確定觸發(fā)器的個(gè)數(shù),(5)求出電路的激勵(lì)方程和輸出方程求出電路的激勵(lì)方程和輸出方程 ;(M:狀態(tài)數(shù)狀態(tài)數(shù);n:觸發(fā)器的個(gè)數(shù))觸發(fā)器的個(gè)數(shù))2n-1M2n 選取編碼方案的原則應(yīng)有利于所選觸發(fā)器的驅(qū)動(dòng)方選取編碼方案的原則應(yīng)有利于所選觸發(fā)器的驅(qū)動(dòng)方程及電路輸出方程的簡(jiǎn)化和電路的穩(wěn)定程及電路輸出方程的簡(jiǎn)化和電路的穩(wěn)定例例 設(shè)計(jì)一個(gè)串行數(shù)據(jù)檢測(cè)器。對(duì)它的要求是:連續(xù)輸入設(shè)計(jì)一個(gè)串行數(shù)據(jù)檢測(cè)器。對(duì)它的要求是:連續(xù)輸入3 3個(gè)或個(gè)或3 3個(gè)個(gè)以上的以上的1 1時(shí)輸出為時(shí)輸出為1,1,其它情況下輸出為其它情況下輸出為0.0.解:設(shè)輸入數(shù)據(jù)為輸入變量,用解:設(shè)輸入數(shù)據(jù)為輸入

24、變量,用X表示;檢測(cè)結(jié)果為輸出變量,表示;檢測(cè)結(jié)果為輸出變量,用用Y表示,其狀態(tài)轉(zhuǎn)換表為表示,其狀態(tài)轉(zhuǎn)換表為0S01S0/0S1/0XYSn/1nSS1S2S3S0/0S2/0S0/0 S0/0S3/1S3/1其中其中S0為沒有為沒有1輸入的以前狀態(tài),輸入的以前狀態(tài),S1為輸入一個(gè)為輸入一個(gè)1以后的狀態(tài),以后的狀態(tài),S2為輸入兩個(gè)為輸入兩個(gè)1以后的狀態(tài),以后的狀態(tài),S3為連續(xù)輸入為連續(xù)輸入3個(gè)或個(gè)或3個(gè)以上個(gè)以上1的狀態(tài)。的狀態(tài)。由狀態(tài)表可以看出,由狀態(tài)表可以看出,S2和和S3為等價(jià)狀態(tài),可以合并成一個(gè)。為等價(jià)狀態(tài),可以合并成一個(gè)。其化簡(jiǎn)后狀態(tài)圖為由于電路的狀態(tài)為由于電路的狀態(tài)為3個(gè),故個(gè),故

25、M3,應(yīng)應(yīng)取觸發(fā)器的數(shù)目為取觸發(fā)器的數(shù)目為n2.取取00、01和和10分別對(duì)應(yīng)分別對(duì)應(yīng)S0、S1和和S3,若若選定的觸發(fā)器為選定的觸發(fā)器為JK觸發(fā)器,則其輸觸發(fā)器,則其輸出端的卡諾圖為出端的卡諾圖為000100/001/0X01111000/010/000/010/1nnQQ01/ / YQQnn/2011分開的卡諾圖為分開的卡諾圖為nQ1nQ000 01111001000011Xn+1Q1nQ1nQ000 01111001000100XnQ1nQ000 0111100001000001Xn+1Q0YS0S1S20/01/01/00/00/0X/Y1/1化簡(jiǎn)后電路的狀態(tài)方程為001110110

26、111QQQXQQXQXQQXQQonn可得驅(qū)動(dòng)方程為可得驅(qū)動(dòng)方程為1010101KQXJXKXQJ輸出方程為輸出方程為1XQY 其對(duì)應(yīng)的邏輯電路如圖其對(duì)應(yīng)的邏輯電路如圖5.4.3所示所示1JC11KQQ1JC11KQQ1CPXY圖5.4.3 例5.4.2的邏輯電路其狀態(tài)轉(zhuǎn)換圖為0001100/01/01/00/00/0X/Y1/1110/01/101QQ由狀態(tài)轉(zhuǎn)換圖可知,此電路可以由狀態(tài)轉(zhuǎn)換圖可知,此電路可以自啟動(dòng)。自啟動(dòng)。時(shí)序邏輯電路的自啟動(dòng)設(shè)計(jì)時(shí)序邏輯電路的自啟動(dòng)設(shè)計(jì) 前一節(jié)的時(shí)序電路設(shè)計(jì)中,電路的自啟動(dòng)檢查是在最后一步進(jìn)前一節(jié)的時(shí)序電路設(shè)計(jì)中,電路的自啟動(dòng)檢查是在最后一步進(jìn)行的,如果不

27、能自啟動(dòng),還要返回來從新修改設(shè)計(jì)。如果在設(shè)計(jì)過行的,如果不能自啟動(dòng),還要返回來從新修改設(shè)計(jì)。如果在設(shè)計(jì)過程中能夠考慮自啟動(dòng)的問題,就可以省略檢查自啟動(dòng)這一步驟了。程中能夠考慮自啟動(dòng)的問題,就可以省略檢查自啟動(dòng)這一步驟了。例例 設(shè)計(jì)一七進(jìn)制計(jì)數(shù)器,要求它能夠自啟動(dòng)。已知該計(jì)數(shù)器的狀態(tài)設(shè)計(jì)一七進(jìn)制計(jì)數(shù)器,要求它能夠自啟動(dòng)。已知該計(jì)數(shù)器的狀態(tài)轉(zhuǎn)換圖及狀態(tài)編碼如圖轉(zhuǎn)換圖及狀態(tài)編碼如圖5.4.4所示。所示。001100010101110111011/0/0/0/0/0/0/1321QQQ/C5.4.4 例5.4.4的狀態(tài)轉(zhuǎn)換圖解:解: 由所給的狀態(tài)圖得出電由所給的狀態(tài)圖得出電路次態(tài)的卡諾圖為路次態(tài)的卡諾

28、圖為nQ10001111001101100001010011nnQQ32111110n+1Q1n+1Q2n+1Q3各個(gè)輸出端的卡諾圖為nQ100 011110010110110n+1Q100 011110010001111nQ100 01111000011010011nQ2nQ3n+1Q2n+1Q3nQ1nQ2nQ3nQ3nQ2則輸出端的狀態(tài)方程為則輸出端的狀態(tài)方程為21311232323211QQQQQQQQQQQnnn由于進(jìn)位信號(hào)是在由于進(jìn)位信號(hào)是在011狀態(tài)譯出,故輸出方程為狀態(tài)譯出,故輸出方程為321QQQC 注意: 在上述合并在上述合并1中,如果將中,如果將項(xiàng)圈入,則當(dāng)作項(xiàng)圈入,則當(dāng)

29、作1處理;否則作處理;否則作0處處理。這就是無形中給無效狀態(tài)(理。這就是無形中給無效狀態(tài)()指定了次態(tài)。如果想電路自啟)指定了次態(tài)。如果想電路自啟動(dòng),必須是無效狀態(tài)的次態(tài)應(yīng)改為有效狀態(tài)。動(dòng),必須是無效狀態(tài)的次態(tài)應(yīng)改為有效狀態(tài)。 前面所得的電路狀態(tài)方程都是沒包含前面所得的電路狀態(tài)方程都是沒包含,也就是將它取成,也就是將它取成000,仍是無效狀態(tài),電路則不會(huì)自啟動(dòng)。如果將仍是無效狀態(tài),電路則不會(huì)自啟動(dòng)。如果將取成有效狀態(tài)取成有效狀態(tài)則電路就會(huì)自啟動(dòng)。若修改則電路就會(huì)自啟動(dòng)。若修改Q2n1的卡諾圖如下的卡諾圖如下00 011110010001111n+1Q2nQ1nQ2nQ3那么電路的狀態(tài)方程改為那

30、么電路的狀態(tài)方程改為2133211232323211QQQQQQQQQQQQQnnn 若由若由JK觸發(fā)器構(gòu)成,則應(yīng)將上述狀態(tài)方程改寫成觸發(fā)器構(gòu)成,則應(yīng)將上述狀態(tài)方程改寫成JK觸發(fā)器觸發(fā)器特性方程的標(biāo)準(zhǔn)形式,即特性方程的標(biāo)準(zhǔn)形式,即則驅(qū)動(dòng)方程為323233213212313222132112132132113232323211)()()()(QQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQnnn23231231312321321QKQJQKQQQQJQQKQQJ 根據(jù)驅(qū)動(dòng)方程和輸出方程可畫出七進(jìn)制計(jì)數(shù)器的邏輯電路如圖根據(jù)驅(qū)動(dòng)方程和輸出方程可畫出七進(jìn)制計(jì)數(shù)器的邏輯電路

31、如圖5.4.5所示。所示。它的狀態(tài)轉(zhuǎn)換圖為1JC11KQQ1JC11KQQ1JC11KQQ=11CCP圖5.4.5 例5.4.4的邏輯電路圖001100010101110111011/0/0/0/0/0/0/1321QQQ/C000/0故電路可以自啟動(dòng)。故電路可以自啟動(dòng)。注:修改輸出端邏輯式注:修改輸出端邏輯式時(shí),也可以修改其它兩時(shí),也可以修改其它兩端,這視得到的狀態(tài)方端,這視得到的狀態(tài)方程最簡(jiǎn)而定。程最簡(jiǎn)而定。注意:在無效狀態(tài)不止一個(gè)的情況下,為保證電路注意:在無效狀態(tài)不止一個(gè)的情況下,為保證電路能夠自啟動(dòng),必須使每個(gè)無效狀態(tài)都能直接或間接能夠自啟動(dòng),必須使每個(gè)無效狀態(tài)都能直接或間接地轉(zhuǎn)為某

32、一有效狀態(tài)。地轉(zhuǎn)為某一有效狀態(tài)。 第五章第五章 時(shí)序邏輯電路時(shí)序邏輯電路基本要求基本要求1. 熟悉常用中規(guī)模集成計(jì)數(shù)器的邏輯功能及應(yīng)用。熟悉常用中規(guī)模集成計(jì)數(shù)器的邏輯功能及應(yīng)用。2. 熟練掌握計(jì)數(shù)器的分析方法。熟練掌握計(jì)數(shù)器的分析方法。3. 熟悉寄存器的工作原理、邏輯功能。熟悉寄存器的工作原理、邏輯功能。帶引腳名的邏輯符號(hào)帶引腳名的邏輯符號(hào)帶引腳名的邏輯符號(hào)帶引腳名的邏輯符號(hào)帶引腳名的邏輯符號(hào)帶引腳名的邏輯符號(hào)3Q0101000110111Q0100Q1Q1000210010110用用RCO端來實(shí)現(xiàn)端來實(shí)現(xiàn)完整狀態(tài)轉(zhuǎn)換圖完整狀態(tài)轉(zhuǎn)換圖 試分別用以下方法設(shè)計(jì)一個(gè)七進(jìn)制計(jì)數(shù)器:試分別用以下方法設(shè)計(jì)

33、一個(gè)七進(jìn)制計(jì)數(shù)器:(1)利用)利用74290的異步清零功能;(的異步清零功能;(2)利用)利用74163的同步清零的同步清零功能;(功能;(3)利用)利用74161的同步置數(shù)功能。的同步置數(shù)功能。 Q1203QQQ74290RRR9R900 (2)(1)(1)(2)CP1CP220Q1QQQ30計(jì)數(shù)脈沖 試分別用以下方法設(shè)計(jì)一個(gè)七進(jìn)制計(jì)數(shù)器:試分別用以下方法設(shè)計(jì)一個(gè)七進(jìn)制計(jì)數(shù)器:(1)利用)利用74290的異步清零功能;(的異步清零功能;(2)利用)利用74163的同步清零的同步清零功能;(功能;(3)利用)利用74161的同步置數(shù)功能。的同步置數(shù)功能。 DDR03DCP74163ET1Q31

34、EPD0RCOQQ2L1Q2Q2DQ301Q1DQ計(jì)數(shù)脈沖 試分別用以下方法設(shè)計(jì)一個(gè)七進(jìn)制計(jì)數(shù)器:試分別用以下方法設(shè)計(jì)一個(gè)七進(jìn)制計(jì)數(shù)器:(1)利用)利用74290的異步清零功能;(的異步清零功能;(2)利用)利用74163的同步清零的同步清零功能;(功能;(3)利用)利用74161的同步置數(shù)功能。的同步置數(shù)功能。 EPD13D21計(jì)數(shù)脈沖QDQ10QCP3Q1DQ22ET3Q QRCO7416301Q0RLDD0 0 0 074161試分別用以下方法設(shè)計(jì)一個(gè)試分別用以下方法設(shè)計(jì)一個(gè)82進(jìn)制計(jì)數(shù)器:進(jìn)制計(jì)數(shù)器:(1)利用)利用74290的異步清零功能;(的異步清零功能;(2)利用)利用74160

35、的異步清零的異步清零功能;(功能;(3)利用)利用74160的同步置數(shù)功能。的同步置數(shù)功能。 1QQ3Q02Q74290RR9RR900 ( 2)( 1)( 1)( 2)CP12CPQ1QQ023Q0計(jì)數(shù)脈沖1QQ3Q02Q74290RR9RR900 ( 2)( 1)( 1)( 2)CP12CPQ1QQ023Q0試分別用以下方法設(shè)計(jì)一個(gè)試分別用以下方法設(shè)計(jì)一個(gè)82進(jìn)制計(jì)數(shù)器:進(jìn)制計(jì)數(shù)器:(1)利用)利用74290的異步清零功能;(的異步清零功能;(2)利用)利用74160的異步清零的異步清零功能;(功能;(3)利用)利用74160的同步置數(shù)功能。的同步置數(shù)功能。 3DQQQQ31DEPDD74

36、160RCO1QDQLL210QCPQQ1DD1DQEPQ1ET00D02RD741601QD33QRCO計(jì)數(shù)脈沖12CP2Q32QD0ET0Q213R試分別用以下方法設(shè)計(jì)一個(gè)試分別用以下方法設(shè)計(jì)一個(gè)82進(jìn)制計(jì)數(shù)器:進(jìn)制計(jì)數(shù)器:(1)利用)利用74290的異步清零功能;(的異步清零功能;(2)利用)利用74160的異步清零的異步清零功能;(功能;(3)利用)利用74160的同步置數(shù)功能。的同步置數(shù)功能。 Q1Q3Q23EPQ74160310D3QQ計(jì)數(shù)脈沖QCP2D1RCO1RCOQ7416002DETD102DDQEP3QETQQ10Q1Q1D2032CPQ01DQLDRD00DRDL第六章

37、第六章 脈沖波形的產(chǎn)生與整形脈沖波形的產(chǎn)生與整形基本要求基本要求1.熟練掌握熟練掌握555定時(shí)器的結(jié)構(gòu)及功能。定時(shí)器的結(jié)構(gòu)及功能。2.熟練掌握熟練掌握555定時(shí)器構(gòu)成的三種基本脈沖電路定時(shí)器構(gòu)成的三種基本脈沖電路(單穩(wěn)態(tài)觸發(fā)器、多諧振蕩器、施密特觸發(fā)器)(單穩(wěn)態(tài)觸發(fā)器、多諧振蕩器、施密特觸發(fā)器)的結(jié)構(gòu)、工作原理、主要參數(shù)的計(jì)算。會(huì)分析的結(jié)構(gòu)、工作原理、主要參數(shù)的計(jì)算。會(huì)分析555定時(shí)器應(yīng)用電路的工作原理。定時(shí)器應(yīng)用電路的工作原理。CC&1RSTG5k5k5k12VRvvvCCDICI1I2OOv,(1)(7)(2)(6)(5)(8)(4)(3)電源復(fù)位v閾值輸入控制電壓觸發(fā)輸入放電端 VCC vC vO 0.01 F C 8 4 555 7 6 2 3 5 1 R1R2(3)電路振蕩周期)電路振蕩周期T T

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論