畢業(yè)設(shè)計(jì)單片機(jī)控制的八路搶答器(共29頁(yè))_第1頁(yè)
畢業(yè)設(shè)計(jì)單片機(jī)控制的八路搶答器(共29頁(yè))_第2頁(yè)
畢業(yè)設(shè)計(jì)單片機(jī)控制的八路搶答器(共29頁(yè))_第3頁(yè)
畢業(yè)設(shè)計(jì)單片機(jī)控制的八路搶答器(共29頁(yè))_第4頁(yè)
畢業(yè)設(shè)計(jì)單片機(jī)控制的八路搶答器(共29頁(yè))_第5頁(yè)
已閱讀5頁(yè),還剩24頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、精選優(yōu)質(zhì)文檔-傾情為你奉上摘要 : 我此次設(shè)計(jì)的搶答器簡(jiǎn)單、實(shí)用有以下幾個(gè)功能:1 可同時(shí)多組選手參加比賽(我們這里有八組),他們的編號(hào)分別是1,2,3,4,5,6,7,8各用一個(gè)搶答按鈕,按鈕的編號(hào)與選 手的編號(hào)相對(duì)應(yīng),分別是S1,S2,S3,S4,,S5,S6,S7,S8。2 主持人設(shè)置一個(gè)控制開(kāi)關(guān),用來(lái)控制系統(tǒng)的清零和搶答的開(kāi)始.3數(shù)字搶答器應(yīng)具有數(shù)碼鎖存,顯示功能.搶答開(kāi)始后,若有選手按動(dòng)搶答按鈕,在LED數(shù)碼管上顯示選手編號(hào),同時(shí)揚(yáng)聲器給出音響提示.此外,要封鎖輸入電路,禁止其他選手搶答.優(yōu)先搶答選手的編號(hào)一直保持8s或者系統(tǒng)清零為止.關(guān)鍵詞: 八路; 搶答器, 設(shè)計(jì)My curre

2、nt design Responder Responder no time limit. 1 can simultaneously multiple players to participate in the competition (we have eight here) They were the No. 1, 2,3,4,5,6,7,8 the button with a Responder, button with the number of players corresponding numbers were s1, S2, S3, S4, and retest, s 6, sten

3、ts, M30 2 set up a moderator control switch, used to reset the control system and the multifunction start. 3 figures Responder should have a digital latch, display function. Responder began, if the multifunction button activated the players, No. immediately latches. and the LED digital tubes show co

4、ntestant numbers, given the same time audio speakers suggested. In addition, the blockade input circuit, prohibit other players Responder. Responder player priority has been to maintain the number of presenters will reset the system to date. Key words: Eighth Route Army, Responder, design 八路數(shù)字顯示搶答器序

5、 言 搶答器是競(jìng)賽問(wèn)答中一種常用的必備裝置, 智力競(jìng)賽搶答器的電路有各種各樣的,有的很簡(jiǎn)單,也有比較復(fù)雜的,此次做的八路數(shù)顯并且是使用單片機(jī)控制其中包括了組合邏輯電路和時(shí)序電路。工廠、學(xué)校和電視臺(tái)等單位常舉辦各種智力競(jìng)賽, 搶答記分器是必要設(shè)備。在平時(shí)舉行的各種競(jìng)賽中我們經(jīng)??吹接袚尨鸬沫h(huán)節(jié),舉辦方多數(shù)采用讓選手通過(guò)舉答題板的方法判斷選手的答題權(quán),這在某種程度上會(huì)因?yàn)橹鞒秩说闹饔^誤斷造成比賽的不公平性。為解決這個(gè)問(wèn)題,設(shè)計(jì)一種實(shí)用簡(jiǎn)單的裝置是畢不可少的。本次設(shè)計(jì)使用了74系列常用集成電路設(shè)計(jì)的數(shù)碼顯示八路搶答器的電路組成、設(shè)計(jì)思路及功能。此次設(shè)計(jì)的搶答器同時(shí)供8名選手或8個(gè)代表隊(duì)比賽,分別用8

6、個(gè)按鈕S2S9表示。設(shè)置一個(gè)系統(tǒng)清除和搶答控制開(kāi)關(guān)S,該開(kāi)關(guān)由主持人控制。當(dāng)其中一路搶先接通電路后,裝置自動(dòng)切斷其他電路的信號(hào),同時(shí)答器具有鎖存與顯示功能。即選手按動(dòng)按鈕,鎖存相應(yīng)的編號(hào),并在LED數(shù)碼管上顯示,同時(shí)揚(yáng)聲器發(fā)出報(bào)警聲響提示。選手搶答實(shí)行優(yōu)先鎖存,優(yōu)先搶答選手的編號(hào)一直保持到主持人將系統(tǒng)清除為止。同時(shí)為了表現(xiàn)裝置的布線(xiàn)的整齊,所以選用了pcb制作板塊。設(shè)計(jì)經(jīng)過(guò)了方案論證、原理圖設(shè)計(jì)、電路布線(xiàn)、系統(tǒng)調(diào)試等過(guò)程后,達(dá)到了設(shè)計(jì)要求。裝置能夠滿(mǎn)足實(shí)際日常生活需要。第 1 章總體設(shè)計(jì)本次設(shè)計(jì)的裝置采用9v的直流電源主要由搶答電路、控制電路、譯碼顯示、報(bào)警電路等幾部分組成。充分利用SN74H

7、C373和AT89S52A的功能來(lái)實(shí)現(xiàn)整個(gè)裝置的運(yùn)行。1.1 搶答器的技術(shù)指標(biāo)(1)8路開(kāi)關(guān)輸入;(2)穩(wěn)定顯示與輸入開(kāi)關(guān)編號(hào)相對(duì)應(yīng)的數(shù)字18;(3)輸出具有唯一性和時(shí)序第一特征;(4)當(dāng)裝置接通電源時(shí)自檢各個(gè)部分是否正常;1.2硬件設(shè)計(jì)要點(diǎn)設(shè)計(jì)任務(wù)給定后,經(jīng)過(guò)詳細(xì)調(diào)研,可能產(chǎn)生多種設(shè)計(jì)方案,為使硬件的設(shè)計(jì)盡可能合理,應(yīng)著重考慮以下幾點(diǎn)來(lái)進(jìn)行選擇:1.盡可能選擇功能完備、工作狀態(tài)穩(wěn)定的芯片,以簡(jiǎn)化電路,提高電路工作效率。2.電路設(shè)計(jì)過(guò)程中,對(duì)電路各個(gè)部分進(jìn)行合理安排,留有余地。以方便將來(lái)對(duì)電路進(jìn)行修改和擴(kuò)展。3.工藝設(shè)計(jì)。包括外觀、面板、配線(xiàn)、接插件等。必須考慮到安裝、調(diào)試、維修的方便。另外硬

8、件抗干擾措施也必須在硬件設(shè)計(jì)時(shí)一并考慮進(jìn)去。1.3 搶答器的基本組成和工作原理1.3.1搶答器的的組成搶答器的一般構(gòu)成框圖如圖1.1所示。它主要由開(kāi)關(guān)陣列電路、觸發(fā)鎖存電路、編碼器、8段顯示器幾部分組成。下面逐一給予介紹。數(shù) 碼 顯 示 器譯碼 電路圖1.1 搶答器的組成框圖(1)開(kāi)關(guān)陣列電路該電路由多路開(kāi)關(guān)所組成,每一競(jìng)賽者與一組開(kāi)關(guān)相對(duì)應(yīng)。開(kāi)關(guān)應(yīng)為常開(kāi)型,當(dāng)按下開(kāi)關(guān)時(shí),開(kāi)關(guān)閉合;當(dāng)松開(kāi)開(kāi)關(guān)時(shí),開(kāi)關(guān)自動(dòng)彈出斷開(kāi)。(2)觸發(fā)鎖存電路當(dāng)某一開(kāi)關(guān)首先按下時(shí),觸發(fā)鎖存電路被觸發(fā),在輸出端產(chǎn)生相應(yīng)的開(kāi)關(guān)電平信息,同時(shí)為防止其它開(kāi)關(guān)隨后觸發(fā)而產(chǎn)生紊亂,最先產(chǎn)生的輸出電平變化又反過(guò)來(lái)將觸發(fā)電路鎖定。若有多

9、個(gè)開(kāi)關(guān)同時(shí)按下時(shí),則在它們之間存在著隨機(jī)競(jìng)爭(zhēng)的問(wèn)題,結(jié)果可能是它們中的任意一個(gè)產(chǎn)生有效輸出。(3)編碼器編碼器的作用是將某一開(kāi)關(guān)信息轉(zhuǎn)化為相應(yīng)的8421BCD碼,以提供數(shù)字顯示電路所需要的編碼輸入。(4)7段顯示譯碼器譯碼驅(qū)動(dòng)電路將編碼器輸出的8421BCD碼轉(zhuǎn)換為數(shù)碼管需要的邏輯狀態(tài),并且為保證數(shù)碼管正常工作提供足夠的工作電流。(5)數(shù)碼顯示器數(shù)碼管通常有發(fā)光二極管(LED)數(shù)碼管和液晶(LCD)數(shù)碼管。本設(shè)計(jì)提供的為L(zhǎng)ED數(shù)碼管。1.3.2搶答器的工作原理(1)開(kāi)關(guān)陣列電路圖1.2所示為8路開(kāi)關(guān)陣列電路,從圖上可以看出其結(jié)構(gòu)非常簡(jiǎn)單。電路中,18為上拉電阻和限流電阻。當(dāng)任一開(kāi)關(guān)按下時(shí),相應(yīng)

10、的輸出為低電平,否則為高電平。由于實(shí)際情況與設(shè)計(jì)時(shí)有些誤差我發(fā)現(xiàn)下拉電阻電位過(guò)高(超過(guò)0.7v左右)裝置不太容易識(shí)別高低電平,所以我最后為了正常工作把下拉電阻短接了。圖1.2 開(kāi)關(guān)陣列電路(2)觸發(fā)鎖存電路圖1.3所示為8路觸發(fā)鎖存電路。圖中,74HC373為8D鎖存器,一開(kāi)始,當(dāng)所有開(kāi)關(guān)均未按下時(shí),鎖存器輸出全為高電平,經(jīng)8輸入與非門(mén)和非門(mén)后的反饋信號(hào)仍為高電平,該信號(hào)作為鎖存器使能端控制信號(hào),使鎖存器處于等待接收觸發(fā)輸入狀態(tài);當(dāng)任一開(kāi)關(guān)按下時(shí),輸出信號(hào)中必有一路為低電平,則反饋信號(hào)變?yōu)榈碗娖剑i存器剛剛接收到的開(kāi)關(guān)被鎖存,這時(shí)其它開(kāi)關(guān)信息的輸入將被封鎖。由此可見(jiàn),觸發(fā)鎖存電路具有時(shí)序電路的

11、特征,是實(shí)現(xiàn)搶答器功能的關(guān)鍵。圖1.3 觸發(fā)鎖存電路(3) 編碼電路 a、編碼器 如圖1.4所示,單片機(jī) 89S52編碼器,當(dāng)任意輸入為低電平時(shí),輸出為相應(yīng)的輸入編號(hào)的8421碼(BCD碼)的反碼。圖1.4 編碼器 AT89S52是一種低功耗、高性能CMOS8位微控制器,具有8k在系統(tǒng)可編程flash存儲(chǔ)器。它具有以下標(biāo)準(zhǔn)功能:8k字節(jié)flash,256字節(jié)RAM,32位I/O口線(xiàn),2個(gè)數(shù)據(jù)指針,三個(gè)16位定時(shí)器,一個(gè)6向量2級(jí)中斷結(jié)構(gòu),全雙工串行口,片內(nèi)晶振動(dòng)及時(shí)鐘電路。另外,AT89S52可降至0hz靜態(tài)邏輯操作,支持2種軟件可選節(jié)電模式,cpu停止工作,允許RAM,定時(shí)器/計(jì)數(shù)器、串口、

12、中斷繼續(xù)工作。掉電保護(hù)方式下,ram內(nèi)容被保存、振蕩器被凍結(jié),單片機(jī)一切工作停止,直到下一個(gè)中斷或硬件復(fù)位為止。下面介紹下各管腳的功能:GND:地P0口:是一個(gè)8位漏極開(kāi)路的雙向I/O口,作為輸出口,每位能驅(qū)動(dòng)8個(gè)TTL邏輯電平。對(duì)P0端口口寫(xiě)“1”時(shí),引腳作高阻抗輸入。P1口:P1口是一個(gè)具有內(nèi)部上拉電阻的8位雙向I/O口,P1輸出緩沖器驅(qū)動(dòng)4個(gè)TT邏輯電平,對(duì)輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,將輸出電流。P2:P2口是一個(gè)具有內(nèi)部上拉電阻的8位雙向I/O口,P2輸出緩沖器能驅(qū)動(dòng)4個(gè)TTL,邏輯電平,對(duì)輸入使用時(shí),被外部拉低的引腳由于內(nèi)部電阻的原因,此時(shí)可以作為輸入口使用,將送

13、出電流。在訪(fǎng)問(wèn)外部程序或用16位地址讀取外部數(shù)據(jù)存儲(chǔ)器時(shí),P2口送出高八位地址。在這種應(yīng)用中,P2口使用很強(qiáng)的內(nèi)部上拉發(fā)送1。P3:是一個(gè)具有內(nèi)部上拉電阻的8位雙向I/O口,P2輸出緩沖器能驅(qū)動(dòng)4個(gè)TTL邏輯電平。對(duì)P3端口寫(xiě)“1”時(shí),內(nèi)部上拉電阻把端口拉高,此時(shí)可以作為輸入口使用,此時(shí)將輸出電流。RST:復(fù)位。ALE/PROG:地址鎖存控制信號(hào)是訪(fǎng)問(wèn)外部程序存儲(chǔ)器時(shí),鎖存低8位地址的、的輸出脈沖。EA/VPP:訪(fǎng)問(wèn)外部程序存儲(chǔ)器控制信號(hào)。b、晶振常說(shuō)的晶振一般叫做晶體諧振器,是一種機(jī)電器件,是用電損耗很小的石英晶體經(jīng)精密切割磨削并鍍上電極焊上引線(xiàn)做成。這種晶體有一個(gè)很重要的特性,如果給他通電

14、,他就會(huì)產(chǎn)生機(jī)械振蕩,反之,如果給他機(jī)械力,他又會(huì)產(chǎn)生電,這種特性叫機(jī)電效應(yīng)。他們有一個(gè)很重要的特點(diǎn),其振蕩頻率與他們的形狀,材料,切割方向等密切相關(guān)。由于石英晶體化學(xué)性能非常穩(wěn)定,熱膨脹系數(shù)非常小,其振蕩頻率也非常穩(wěn)定,由于控制幾何尺寸可以做到很精密,因此,其諧振頻率也很準(zhǔn)確。根據(jù)石英晶體的機(jī)電效應(yīng),我們可以把它等效為一個(gè)電磁振蕩回路,即諧振回路。他們的機(jī)電效應(yīng)是機(jī)-電-機(jī)-電.的不斷轉(zhuǎn)換,由電感和電容組成的諧振回路是電場(chǎng)-磁場(chǎng)的不斷轉(zhuǎn)換。在電路中的應(yīng)用實(shí)際上是把它當(dāng)作一個(gè)高Q值的電磁諧振回路。由于石英晶體的損耗非常小,即Q 值非常高,做振蕩器用時(shí),可以產(chǎn)生非常穩(wěn)定的振蕩,作濾波器用,可以獲

15、得非常穩(wěn)定和陡削的帶通或帶阻曲線(xiàn)。由于穩(wěn)定性好,晶體振蕩器一般用來(lái)產(chǎn)生周期時(shí)序信號(hào),常見(jiàn)的用處是作為單片機(jī)的內(nèi)部振蕩源。(4)譯碼驅(qū)動(dòng)及顯示單元編碼器實(shí)現(xiàn)了對(duì)開(kāi)關(guān)信號(hào)的編碼并以碼的形式輸出。為了將編碼顯示出來(lái),需用顯示譯碼電路將計(jì)數(shù)器的輸出數(shù)碼轉(zhuǎn)換為數(shù)碼顯示器件所需要的輸出邏輯和一定的電流。一般這種譯碼通常稱(chēng)為7段譯碼顯示驅(qū)動(dòng)器。常用的7段譯碼顯示驅(qū)動(dòng)器有4511等。大多情況下使用的是數(shù)碼管。平時(shí)使用較多的數(shù)碼有單字和雙字之分。數(shù)碼管尺寸有大有小,這次使用了小的數(shù)碼管每個(gè)數(shù)字筆畫(huà)為一個(gè)發(fā)光二極管。a、數(shù)碼管的種類(lèi)LED顯示器在許多的數(shù)字系統(tǒng)中作為顯示輸出設(shè)備,使用非常廣泛。它的結(jié)構(gòu)是由發(fā)光二極

16、管構(gòu)成如圖2-7所示的a、b、c、d、e、f和g七段,并由此得名,實(shí)際上每個(gè)LED還有一個(gè)發(fā)光段dp,一般用于表示小數(shù)點(diǎn),所以也有少數(shù)的資料將LED稱(chēng)為八段數(shù)碼管。七段譯碼器有輸出低電平有效和高電平有效的多種型號(hào),LED內(nèi)部的所有發(fā)光二極管有共陰極接法和共陽(yáng)極接法兩種,即將LED內(nèi)部所有二極管陰極或陽(yáng)極接在一起并通過(guò)com引腳引出,并將每一發(fā)光段的另一端分別引出到對(duì)應(yīng)的引腳,LED的引腳排列一般如圖2-7所示,使用時(shí)以具體型號(hào)的LED資料為依據(jù)。通過(guò)點(diǎn)亮不同的LED字段,可顯示數(shù)字0,1,29等不同的字符及自定義一些段發(fā)光代表簡(jiǎn)單符號(hào)。當(dāng)選用的LED是共陽(yáng)極接法時(shí),應(yīng)使用低電平輸出有效的七段譯

17、碼器,如7446和7447等;當(dāng)選用的LED是共陰極接法時(shí),應(yīng)使用高電平輸出有效的七段譯碼器,如7448和7449等。圖2-8為L(zhǎng)ED的使用舉例,是本次設(shè)計(jì)使用的LED為共陽(yáng)極接法,因此,com端接5V電壓,其它引腳端通過(guò)限流電阻接到鎖存器74LS373的輸出,當(dāng)各段輸入端為邏輯“1”,對(duì)應(yīng)的LED不亮;各段輸入端為邏輯“0”時(shí),對(duì)應(yīng)LED才發(fā)亮。使用時(shí)要根據(jù)LED正常發(fā)光需要的電流參數(shù)估算限流電阻取值。電阻取值越小,電流大,LED會(huì)更亮,但要注意長(zhǎng)時(shí)間過(guò)熱使用燒壞LED。圖2-8 LED顯示舉例b、數(shù)碼管的驅(qū)動(dòng)七段譯碼器驅(qū)動(dòng)LED和七段譯碼器之間一般還要接限流電阻或其它匹配電路,或者驅(qū)動(dòng)譯碼

18、器是OC輸出,需要接上拉電阻。七段譯碼器內(nèi)部一般包含了LED的驅(qū)動(dòng)電路,驅(qū)動(dòng)共陽(yáng)極LED的譯碼器(輸出低電平對(duì)應(yīng)段亮)驅(qū)動(dòng)電流一般較大(灌電流),如果該電流與LED器件的正常工作電流近似,那么可以直接驅(qū)動(dòng)LED,如果驅(qū)動(dòng)電流大于LED正常電流許多,那么兩者之間要加限流電阻,根據(jù)LED的參數(shù)估算限流電阻的大小;如果七段譯碼器驅(qū)動(dòng)能力不夠大,特別是驅(qū)動(dòng)共陰極LED時(shí),可以在兩者之間加適當(dāng)?shù)纳侠娮瑁热?448驅(qū)動(dòng)共陰極的BS201時(shí),要LED有正常顯示亮度就要加上拉電阻。c、數(shù)碼管的顯示LED多數(shù)情況用于顯示十進(jìn)制數(shù)字,要將09的數(shù)字用7段顯示,必須將數(shù)字轉(zhuǎn)換為L(zhǎng)ED對(duì)應(yīng)七段碼的信息,比如,要顯

19、示“0”,就是讓a、b、c、d、e和f段發(fā)光,顯示“1”,讓b和c段發(fā)光。然后根據(jù)LED是共陰極還是共陽(yáng)極接法確定LED各輸入端應(yīng)接邏輯1還是邏輯0,如果是共陽(yáng)接法,要顯示“0” 時(shí),a、b、c、d、e和f段就要輸入邏輯0,共陰極接法則恰巧相反。也就是說(shuō),對(duì)于共陰極和共陽(yáng)極兩種不同的接法,顯示同一個(gè)字符時(shí),對(duì)應(yīng)的顯示段碼是不同的,互為反碼。1.5LED數(shù)碼官此外這次使用的是共陽(yáng)極,所謂的共陽(yáng)極就是指筆畫(huà)顯示器發(fā)光管的陽(yáng)極是公共的,而陰極是隔離的區(qū)別見(jiàn)圖1.6。 圖1.6設(shè)計(jì)電路如原理圖所示。電路選用單片機(jī) 89S52 和鎖存器74HC373 來(lái)完成。該電路主要完成兩個(gè)功能:一是分辨出選手按鍵的

20、先后,并鎖存優(yōu)先搶答者的編號(hào),同時(shí)譯碼顯示電路顯示編號(hào)(顯示電路采用七段數(shù)字?jǐn)?shù)碼顯示管);二是禁止其他選手按鍵,其按鍵操作無(wú)效。工作過(guò)程:開(kāi)關(guān)S置于"清除"端時(shí), d觸發(fā)器的 R、S端均為0,4個(gè)觸發(fā)器輸出置0,使89S52的優(yōu)先編碼工作標(biāo)志端(圖中5號(hào)端)0,使之處于工作狀態(tài)。當(dāng)開(kāi)關(guān)S置于"開(kāi)始"時(shí),搶答器處于等待工作狀態(tài),當(dāng)有選手將搶答按鍵按下時(shí)(如按下S5),89S52的輸出經(jīng)的D鎖存后,CTR=1,RBO(圖中4端) =1,七段顯示電路74LS48處于工作狀態(tài),4Q3Q2Q=101,經(jīng)譯碼顯示為“5”。此外,CTR,使89S52 優(yōu)先編碼工作標(biāo)志

21、端(圖中5號(hào)端),處于禁止?fàn)顟B(tài),封鎖其他按鍵的輸入。當(dāng)按鍵松開(kāi)即按下時(shí),89S52的 此時(shí)由于仍為CTR,使優(yōu)先編碼工作標(biāo)志端(圖中5號(hào)端),所以89S52仍處于禁止?fàn)顟B(tài),確保不會(huì)出二次按鍵時(shí)輸入信號(hào),保證了搶答者的優(yōu)先性。如有再次搶答需由主持人將S1開(kāi)關(guān)重新置“清除”然后再進(jìn)行下一輪搶答。1.3.3 軟件的設(shè)計(jì)主持人清零初始接受信號(hào) 進(jìn)行判別自鎖并阻斷輸入端延時(shí)保持8s自動(dòng)清零第二章 印制電路板2.1 PROTEL99簡(jiǎn)介Protel99SE是Protel公司近10年來(lái)致力于Windows平臺(tái)開(kāi)發(fā)的最新結(jié)晶,能實(shí)現(xiàn)從電學(xué)概念設(shè)計(jì)到輸出物理生產(chǎn)數(shù)據(jù),以及這之間的所有分析、驗(yàn)證和設(shè)計(jì)數(shù)據(jù)管理。因

22、而今天的Protel最新產(chǎn)品已不是單純的PCB(印制電路板)設(shè)計(jì)工具,而是一個(gè)系統(tǒng)工具,覆蓋了以PCB為核心的整個(gè)物理設(shè)計(jì)。 最新版本的Protel軟件可以毫無(wú)障礙地讀Orcad、Pads、Accel(PCAD)等知名EDA公司設(shè)計(jì)文件,以便用戶(hù)順利過(guò)渡到新的EDA平臺(tái)。Protel 99 SE共分5個(gè)模塊,分別是原理圖設(shè)計(jì)、PCB設(shè)計(jì)(包含信號(hào)完整性分析)、自動(dòng)布線(xiàn)器、原理圖混合信號(hào)仿真、PLD設(shè)計(jì)。本次畢業(yè)設(shè)計(jì)主要是印制電路板(簡(jiǎn)稱(chēng)PCB板)的設(shè)計(jì),采用的是Protel 99SE。Protel軟件是個(gè)新興家族,以其易學(xué)易用而著稱(chēng)。Protel 99 SE這套電路設(shè)計(jì)軟件,主要包括四部分:S

23、chematic99 SE、SIM99SE、PLD99SE、PCB99SE、PCB99CE。除了上述四大部分之外,PROTEL99也提供了一些基本工具,如特別使用與電路設(shè)計(jì)的文字編輯器的工具,適用于電路數(shù)據(jù)管理的電子表格編輯器和統(tǒng)計(jì)圖編輯器等工具。2.2 繪制PCB時(shí)的注意事項(xiàng)1.布線(xiàn)方向:從焊接面看,組件的排列方位盡可能保持與原理圖相一致布線(xiàn)方向最好與電路圖走線(xiàn)一致。布線(xiàn)方向最好與電路圖走線(xiàn)方向一致,因生產(chǎn)過(guò)程中通常需要在焊接面進(jìn)行各種參數(shù)的檢測(cè),故這樣便于生產(chǎn)中的檢查,調(diào)試以及檢修。2.各組件排列,分布要合理和均勻,力求整齊美觀,結(jié)構(gòu)嚴(yán)謹(jǐn)?shù)墓に囈蟆?.電阻的放置方式分為平放與豎放兩種(1

24、)當(dāng)電路組件數(shù)量不多,而且電路板尺寸較大的情況下,一般是采用平放。(2)豎放:放電路的組件數(shù)較多,而且電路板尺寸不大的情況下,一般上采用豎放。4.電位器的放置原則在穩(wěn)壓器中用來(lái)調(diào)輸出電壓,故設(shè)計(jì)電位器應(yīng)滿(mǎn)足順時(shí)針輸出電壓升高,逆時(shí)針調(diào)節(jié)輸出降低,在可調(diào)恒流充電器中電位器用來(lái)調(diào)節(jié)充電電流的大小,設(shè)計(jì)電位器是應(yīng)滿(mǎn)足順時(shí)針調(diào)節(jié)時(shí),電流增大。電位器安放應(yīng)當(dāng)滿(mǎn)足整體結(jié)構(gòu)安裝及面板布局的要求。因此應(yīng)盡可能放在邊緣,旋轉(zhuǎn)板朝外。5.進(jìn)出接線(xiàn)端布置(1)相關(guān)聯(lián)的兩引線(xiàn)端不要距離太大,一般為2-3/10英寸左右較合適。(2)由于這次設(shè)計(jì)用的是單面板,所以導(dǎo)線(xiàn)和焊盤(pán)都在一個(gè)側(cè)面,這就要求布線(xiàn)時(shí)不能夠隨意地按照雙面

25、板來(lái)做。 6.設(shè)計(jì)布線(xiàn)圖是要注意管腳排列順序,組件腳間距要合理。7.在保證電路性能要求的前提下,設(shè)計(jì)時(shí)應(yīng)力求走線(xiàn)合理,少用外接跨線(xiàn),并按照一定順序要求走線(xiàn),力求直觀,便于安裝高度和檢修。8.設(shè)計(jì)布線(xiàn)圖時(shí)走線(xiàn)盡量少拐彎,力求線(xiàn)條簡(jiǎn)單明了。9.布線(xiàn)時(shí),導(dǎo)線(xiàn)的寬度要定好尺寸,不能太粗和太細(xì),導(dǎo)線(xiàn)間距要盡量統(tǒng)一適中,以防短路。10.設(shè)計(jì)最好按照一定順序方向進(jìn)行,例如可以由左往右和由上到下的順序進(jìn)行。11.在設(shè)計(jì)時(shí)。為了將來(lái)能更好的安裝和焊接元件,在不違背原理的前提下可以從元件中調(diào)用其他元件來(lái)替代本元件。2.3 PCB板制作電路設(shè)計(jì)概念就是指實(shí)現(xiàn)一個(gè)電子產(chǎn)品從設(shè)計(jì)構(gòu)思、電學(xué)設(shè)計(jì)到物理結(jié)構(gòu)設(shè)計(jì)的全過(guò)程。在

26、Protel 99中,設(shè)計(jì)電路基本的完整過(guò)程有以下幾個(gè)步驟。51電路原理圖設(shè)計(jì)電路原理圖的設(shè)計(jì),主要是利用Protel 99中的原理圖設(shè)計(jì)系統(tǒng)Advanced SIM99來(lái)繪制一張電路原理圖。在這一步中,可以充分利用其所提供的各種原理圖繪圖工具、豐富的在線(xiàn)圖庫(kù)、強(qiáng)大的全局編輯能力以及便利的電氣規(guī)則檢查,來(lái)達(dá)到設(shè)計(jì)目的。2電路信號(hào)仿真電路信號(hào)仿真是原理圖設(shè)計(jì)的擴(kuò)展,為用戶(hù)提供一個(gè)完整的從設(shè)計(jì)到驗(yàn)證的仿真環(huán)境。它與Protel 99原理圖設(shè)計(jì)服務(wù)器協(xié)同工作,以提供一個(gè)完整的前端設(shè)計(jì)方案。3產(chǎn)生網(wǎng)絡(luò)表及其他報(bào)表網(wǎng)絡(luò)報(bào)表是電路板自動(dòng)布線(xiàn)的靈魂,也是原理圖設(shè)計(jì)與印制電路板中提取。其他報(bào)表則存放了原理圖的

27、各種信息。4印制電路板設(shè)計(jì)印制電路板設(shè)計(jì)是電路設(shè)計(jì)的最終目標(biāo)。利用Protel 99的強(qiáng)大功能實(shí)現(xiàn)電路板的版面設(shè)計(jì),完成高難度的布線(xiàn)及輸出報(bào)表等工作。 5、以下是本次的pcd扳的圖第三章 系統(tǒng)的安裝與調(diào)試3.1 系統(tǒng)的安裝3.1.1 常見(jiàn)的錯(cuò)誤1.邏輯錯(cuò)誤硬件的邏輯錯(cuò)誤是由于設(shè)計(jì)錯(cuò)誤和加工過(guò)程中的工藝性錯(cuò)誤所造成的。這類(lèi)錯(cuò)誤包括 錯(cuò)線(xiàn)、開(kāi)路、短路、相位錯(cuò)等幾種,其中短路是最常見(jiàn)也較難排除的故障。單片機(jī)的應(yīng)用系統(tǒng)往往要求體積小,從而使印制板的步線(xiàn)密度高,由于工藝原因造成引線(xiàn)之間短路。開(kāi)路常常是由于印制板的金屬孔質(zhì)量不好或接插件接觸不良引起的。 2.元器件失效元器件失效的原因有兩方面:一是器件本身

28、已損壞或性能差,諸如電阻電容的型號(hào)、參數(shù)不正確,集成電路已損壞,器件的速度、功耗等技術(shù)參數(shù)等不符合要求等;二是由于組裝錯(cuò)誤造成元器件失效,如電容、二極管、三極管的極性錯(cuò)誤和集成塊安裝的方向錯(cuò)誤等。3.可靠性差系統(tǒng)不可靠的因數(shù)很多,如金屬孔、接插件接觸不良會(huì)造成系統(tǒng)時(shí)好時(shí)壞,禁不起振動(dòng)。內(nèi)部和外部的干擾、電源紋波系數(shù)過(guò)大、器件負(fù)載過(guò)大等會(huì)造成邏輯電平不穩(wěn)定。另外,走線(xiàn)和布局的不合理等也會(huì)引起系統(tǒng)故障。4.電源故障若存在電源故障,則加電后將造成器件的損壞,因此電源必須單獨(dú)調(diào)試好以后才加到系統(tǒng)的各個(gè)部件。電源的故障包括:電源值不符合設(shè)計(jì)要求,電源引出線(xiàn)和插座不對(duì)應(yīng),各檔電源之間短路,變壓器功率不足,

29、內(nèi)阻大,負(fù)載能力差等。3.1.2 元器件的檢測(cè)1.電阻的測(cè)量:電阻是采用色環(huán)法標(biāo)注的,是用萬(wàn)用表測(cè)量個(gè)電阻的阻值,查看與標(biāo)注是否一致、所使用電阻的功率是否達(dá)到電路的要求及誤差是不是符合標(biāo)準(zhǔn)。2.數(shù)碼管的測(cè)量:常用的數(shù)碼管有共陰和共陽(yáng)之分,兩者外形相似,可使用萬(wàn)用表進(jìn)行判別和檢測(cè),將萬(wàn)用表的陽(yáng)表筆接觸數(shù)碼管的3腳或7腳,在用另一個(gè)表筆接觸數(shù)碼管的另外8個(gè)管腳,如果數(shù)碼管有顯示,則說(shuō)明此數(shù)碼管是共陽(yáng),反之用萬(wàn)用表的陰表筆接觸3腳或7腳,來(lái)判斷為共陰數(shù)碼管,并檢測(cè)好壞。3.電容的測(cè)量:對(duì)于電解電容的測(cè)量,我們一般都是使用萬(wàn)用表的電阻檔對(duì)其進(jìn)行漏電阻的測(cè)量,如果漏電阻的阻值達(dá)到100k左右我們就認(rèn)為該

30、電解電容合格。對(duì)于瓷片電容,一般使用萬(wàn)用的R*10k檔對(duì)其測(cè)量。如果測(cè)出的電阻是接近無(wú)窮大就認(rèn)為該瓷片電容合格。4.二極管檢測(cè)將萬(wàn)用表?yè)苤?Rx100或Rx1K檔,當(dāng)所測(cè)的電阻值較小時(shí),所測(cè)的是正向電阻,即圖黑表筆接的是二極管的正極、紅筆接的是負(fù)極;反之,若測(cè)得的阻值較大,則是反向電阻,黑表筆接的是負(fù)極、紅筆接的是正極。檢查好元器件和線(xiàn)路板即可進(jìn)行電路的安裝了。在安裝過(guò)程中要按照信號(hào)的流程進(jìn)行安裝,這樣可以避免安裝錯(cuò)誤,而且要注意集成電路的方向,不可倒插,管腳也不能彎曲。3.2 實(shí)物的制作與調(diào)試 3.2.1制作過(guò)程我在進(jìn)行實(shí)物制作前,先仔細(xì)分析了研究整機(jī)工作原理圖,讀懂每一部分電路的工作原理和

31、連接關(guān)系,然后在電路板上細(xì)心的設(shè)計(jì)元器件的位置安排,遵從了“先大后小,先整后散”的組裝原則。 必備工具:25W或30W電烙鐵一把,剪鉗一把,萬(wàn)用表一塊,焊錫若干,松香若干,鑷子一把,導(dǎo)線(xiàn)若干。 首先把開(kāi)關(guān)、數(shù)碼管、單片機(jī) 89S52、74HC373芯片等大的元器件合理安排在電路板子上,并且焊接好。再把其他小的元器件合理的分布在大元器件的周?chē)?,按照電路原理圖進(jìn)行焊接的過(guò)程中,我充分注意了冷焊、虛焊的現(xiàn)象,防止給后的檢查帶來(lái)不便。 焊接時(shí)的注意事項(xiàng): 1. 注意二極管和三極管的極性,在焊接之前用儀器測(cè)出。 2. 在焊接之前要把各個(gè)電阻的阻值用萬(wàn)用表測(cè)試,檢查阻值是否正確。 3. 檢查變壓器的型號(hào),

32、確定220V的交流電經(jīng)變壓器變壓后是合適的電壓。 4. 最好選用220V/25W的電烙鐵。焊接時(shí)動(dòng)作要快,以免把電路板銅線(xiàn)燙壞;焊接要準(zhǔn)確。 每焊接好一處注意要檢查是否有短路現(xiàn)象。3.2.2 調(diào)試過(guò)程 這次設(shè)計(jì)當(dāng)中調(diào)試花了不少時(shí)間,因此也許多在電路改了許多地方,會(huì)在3.3中仔細(xì)說(shuō)明。焊接完畢后,在接通電源前,先用萬(wàn)用表仔細(xì)檢查各管腳間是否有短路,虛焊、漏焊現(xiàn)象。在檢查無(wú)誤后,我接通電源用手觸摸橋碓,看看是否發(fā)熱,然后用萬(wàn)用表測(cè)試其兩端的電壓是否在5V左右。如果發(fā)熱或者電壓為零則說(shuō)明電路中有短路的現(xiàn)象,要立刻切斷電源,再做仔細(xì)的檢查,改正后再進(jìn)行同樣的測(cè)試,直到正常為止。再測(cè)試各個(gè)芯片的電壓是否

33、正常。 以上檢查完后后,再進(jìn)行調(diào)試。首先按下復(fù)位鍵S1,用萬(wàn)用表測(cè)試74HC373的第六引腳是否有高電平輸出,有的話(huà)說(shuō)明電路連接正確;再測(cè)試第一腳是否是低電平,不是的話(huà)說(shuō)明電路連接有問(wèn)題,要切斷電源檢查。如果沒(méi)有的就再按下?lián)尨鸢粹oS1,用萬(wàn)用表測(cè)試但片機(jī)第一腳是不是有高電平,數(shù)碼管的顯示數(shù)字是否為“1”。不是的話(huà)再仔細(xì)地檢查電路中的連接。是的話(huà)就依次按下S2、S3、S4,觀察數(shù)碼管的顯示是否有變化,沒(méi)有變化說(shuō)明電路中89S52起到了閉鎖的作用。再看看蜂鳴器是否響。再按下S2,進(jìn)行與S1相同的操作。同理按下S3、S4、S5、S6、S7、S8進(jìn)行檢查。3.3 在整個(gè)畢業(yè)設(shè)計(jì)中遇到的問(wèn)題與解決方案1

34、、我們?cè)O(shè)計(jì)的作品主要是用74系列集成芯片和單片機(jī) 89S52編碼器來(lái)完成的,在焊接的過(guò)程中由于芯片的引腳過(guò)多,布線(xiàn)工作不是很方便。有時(shí)候還因?yàn)槟骋桓€(xiàn)沒(méi)有焊牢,造成電路的不穩(wěn)定,這些都是有待改進(jìn)的。我們的想法是根據(jù)單片機(jī)原理及相關(guān)知識(shí)對(duì)我們的設(shè)計(jì)進(jìn)行一些改進(jìn)。2、選手號(hào)碼的顯示問(wèn)題:在設(shè)計(jì)過(guò)程中,我們發(fā)現(xiàn)按下?lián)尨鸢粹o后,我們希望其在七段數(shù)碼顯示管上顯示的選手號(hào)碼是1到8,這樣符合我們一般的思維,而按照我們一開(kāi)始所做的設(shè)計(jì),數(shù)碼管只能顯示0到7,我們?cè)谡J(rèn)真研究體設(shè)計(jì)方案后,發(fā)現(xiàn)解決此問(wèn)題3、顯示電路顯示不穩(wěn)定的問(wèn)題。在完成電路的焊接,進(jìn)入調(diào)試階段時(shí),我們的搶答器顯示電路出現(xiàn)了不穩(wěn)定的問(wèn)題。主要表

35、現(xiàn)在當(dāng)我們?cè)谕瓿梢惠啌尨鸷髮?duì)計(jì)時(shí)時(shí)間進(jìn)行重新設(shè)定時(shí),顯示部分會(huì)出現(xiàn)亂碼的現(xiàn)象。開(kāi)始我們以為是芯片出了問(wèn)題,于是對(duì)芯片進(jìn)行了逐個(gè)排查,確定芯片沒(méi)有損壞后,我們開(kāi)始檢查導(dǎo)線(xiàn)的焊接情況。我們利用萬(wàn)用表對(duì)接線(xiàn)處逐個(gè)進(jìn)行了排查,最后發(fā)現(xiàn)某芯片的一個(gè)引腳處的導(dǎo)線(xiàn)松了。我們對(duì)導(dǎo)線(xiàn)進(jìn)行了重新焊接,過(guò)后系統(tǒng)恢復(fù)了穩(wěn)定。4、在設(shè)計(jì)下拉電阻時(shí)沒(méi)有考慮到SN74HC373所要求的低電平只有0.7v所以當(dāng)我把設(shè)計(jì)的電阻(R2、R3、R4、R5、R6、R7、R8、R9)放上去時(shí)下拉電阻的電位過(guò)高所以導(dǎo)致SN74HC373不能正常識(shí)別(如圖3.1)。本來(lái)想多加幾個(gè)電阻以降低此點(diǎn)的電位,但考慮到電路的可靠性,就采用了更為的方

36、法直接把下拉電阻短接掉已符合電位的要求。VCC SN74HC373U=10K/4.7K0.7V所以高于TTL電平4、由于我在顯示電路中采用的靜態(tài)顯示幾位數(shù)碼管的斷碼同時(shí)輸出,并且是選用的是共陽(yáng)極LED數(shù)碼管,所以為了提高電路的穩(wěn)定性我在電路中加入了Q1加強(qiáng)放射級(jí)電流三級(jí)目的是為了提高通向LED數(shù)碼管電路的電流。第四章 結(jié)論在設(shè)計(jì)過(guò)程中,查閱了大量相關(guān)資料,對(duì)提出的方案進(jìn)行了合理的論證;完成了這些前期準(zhǔn)備工作后,用Protel進(jìn)行了電路原理圖的設(shè)計(jì),及PCB板的設(shè)計(jì);最后進(jìn)行了電路的安裝和調(diào)試工作。最終在印制電路板上完整的做出了該設(shè)計(jì)的成品。此次畢業(yè)設(shè)計(jì)是對(duì)我四年來(lái)學(xué)習(xí)情況的一次綜合性考核,不僅

37、是專(zhuān)業(yè)知識(shí)的檢驗(yàn),而且也是對(duì)我的自學(xué)能力、解決問(wèn)題的能力等各方面進(jìn)行了有效鍛煉,使我在各方面都有所提高,為今后踏上工作崗位奠定了良好的基礎(chǔ)。參考文獻(xiàn)1 張洪潤(rùn).電子線(xiàn)路及應(yīng)用M.北京:清華大學(xué)出版社,2005.2 李海 . 74系列芯片手冊(cè)J.重慶 :重慶大學(xué)出版社 ,1999年9月版.3 顏永軍.Protel 99電路設(shè)計(jì)與應(yīng)用M.北京:國(guó)防工業(yè)出版社,2001.4 黃惠媛.李潤(rùn)國(guó).單片機(jī)原理與接口技術(shù)M.北京:海洋出版社,20065 李海 . 74系列芯片手冊(cè).重慶J: 重慶大學(xué)出版社, 20016 歐陽(yáng)星明. 編數(shù)字邏輯.武漢M: 華中科技大學(xué)出版社:2006 7 康華光.數(shù)字電子技術(shù).

38、北京:高等教育出版式社,20058 謝宜仁. 單片機(jī)實(shí)用技術(shù)問(wèn)答M北京:人民郵電出版社,2003.29 周惠潮.常用電子元件M.上海:電子工業(yè)出版社,2005.10 楊崇志.電子愛(ài)好者實(shí)用手冊(cè)M.福建:福建科學(xué)出版社,200311鄧興成.單片機(jī)原理與實(shí)用手冊(cè)M.成都:電子科技大學(xué)出版社,2005致 謝在這次畢業(yè)設(shè)計(jì)中,用到了許多以前學(xué)過(guò)的知識(shí),張建名老師給了很多幫助。任務(wù)書(shū)下達(dá)后,由于自己對(duì)所要設(shè)計(jì)的課題不太了解,所以不知道從何下手,但是經(jīng)過(guò)導(dǎo)師的指導(dǎo)和幫助下,我開(kāi)始閱讀相關(guān)書(shū)籍,查找有關(guān)資料,不久就設(shè)計(jì)出了流程圖。在電路設(shè)計(jì)的前期,我在各種參考書(shū)上搜索所需的資料,然后生搬硬套得加以使用,就以

39、為可以了。在調(diào)試的過(guò)程中才發(fā)現(xiàn),我們所用的器件和那些程序不符,錯(cuò)誤一大堆。在這種情況下,只得再重新分析硬件電路,把各個(gè)模塊的工作原理和工作方式都搞懂,并且使硬件和軟件很好的結(jié)合起來(lái)。 在調(diào)試硬件電路時(shí),我深刻認(rèn)識(shí),我們很多的知識(shí)只是停留在理論階段,所學(xué)的都是理論知識(shí),很少觸及到實(shí)踐。要把理論知識(shí)運(yùn)用到實(shí)踐中去,有時(shí)也會(huì)忽略一些細(xì)節(jié)。比如,在測(cè)試時(shí),老是將測(cè)試筆搭錯(cuò)地方,而導(dǎo)致測(cè)試不是十分精確,從而使調(diào)試的結(jié)果出現(xiàn)錯(cuò)誤。特別是在做pcb板時(shí)因?yàn)闆](méi)有學(xué)過(guò)Protel都是在老師的指導(dǎo)下才能順利的完成。我深刻認(rèn)識(shí),我們很多的知識(shí)只是停留在理論階段,所學(xué)的都是理論知識(shí),很少觸及到實(shí)踐。要把理論知識(shí)運(yùn)用到

40、實(shí)踐中去,有時(shí)也會(huì)忽略一些細(xì)節(jié)。最后,要感謝張老師熱情的指導(dǎo)和鼓勵(lì),感謝給我?guī)椭耐瑢W(xué)和朋友,使我的畢業(yè)設(shè)計(jì)能順利的完成,這次畢業(yè)設(shè)計(jì)讓我學(xué)到了許多。附表一元器件序號(hào)編號(hào)名稱(chēng)數(shù)量說(shuō)明174HC3732片工作電壓5V2L7805cn1片工作電壓5V374LS48七路顯示譯碼器1片工作電壓5V4AT89S52A1片工作電壓5V9開(kāi)關(guān)反向器9片12R24.7電阻8只13R115k電阻 6只15R51k電阻10只16R710k電阻9只17C10.1uF2只18C21000uf2只19C30uF2只20Speaker蜂鳴器1只4,6V21LED發(fā)光二極管6只22DPY_7-SEG共陽(yáng)極七段數(shù)碼顯示管1片

41、附表二:附件三 原理圖附件四:#include "reg52.h"#include "intrins.h"#define uchar unsigned char#define uint unsigned int#define nop() _nop_() /* 定義空操作指令 */sbit KEY1 = P10;sbit KEY2 = P11;sbit KEY3 = P12;sbit KEY4 = P13;sbit KEY5 = P14;sbit KEY6 = P15;sbit KEY7 = P16;sbit KEY8 = P17;sbit LED1 =

42、P00;sbit LED2 = P01;sbit LED3 = P02;sbit LED4 = P03;sbit LED5 = P04;sbit LED6 = P05;sbit LED7 = P06;sbit LED8 = P07;sbit DIS_dp = P20;sbit DIS_g = P21;sbit DIS_f = P22;sbit DIS_e = P23;sbit DIS_d = P24;sbit DIS_c = P25;sbit DIS_b = P26;sbit DIS_a = P27;sbit SCAN = P34; /*P3.4置0,清除鍵盤(pán)緩存數(shù)據(jù)*/sbit LED_CO

43、N= P35; /*P3.5置0,清除數(shù)碼管數(shù)值*/sbit SPEAKER= P36; /*P3.6置0,使喇叭響*/sbit DIS_CON= P37; /*數(shù)碼管頻率控制*/void Delay_S(); /延時(shí)函數(shù)void Delay_S0();void Delay_10ms();void Ini_MCU() / Initialization MCUP0 = 1;P1 = 1;P2 = 1;SPEAKER = 1;SCAN = 1;LED_CON = 1;DIS_CON = 1;void Check_self(void)uchar i;P0 = 0;Delay_10ms();SPEAKER = 1;Delay_10ms();SPEAKER = 0;Delay_10ms();SPEAKER = 1;P0 = 0xff;LED_CON = 0;P2 = 0x02;for( i = 2;i > 0;i- )DIS_CON = 0;SPEAKER

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論