簡易波形發(fā)生器的設(shè)計_第1頁
簡易波形發(fā)生器的設(shè)計_第2頁
簡易波形發(fā)生器的設(shè)計_第3頁
簡易波形發(fā)生器的設(shè)計_第4頁
簡易波形發(fā)生器的設(shè)計_第5頁
已閱讀5頁,還剩37頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、摘 要波形發(fā)生器是隨著不斷進(jìn)步的計算機(jī)技術(shù)和微電子技術(shù)在測量儀器中的應(yīng)用而形成和發(fā)展起來的一類新型信號源。產(chǎn)生任意波形通常采用基于數(shù)字合成的方法,即先將所需產(chǎn)生信號波形的一個周期若干個樣點(diǎn)的幅值的二進(jìn)制信息存儲在波形存儲器中,再通過硬件電路依次從波形存儲器中讀取出來,經(jīng) D/A轉(zhuǎn)換后得到所需信號波形的輸出。本系統(tǒng)是基于 AT89C52 單片機(jī)的數(shù)字式低頻信號發(fā)生器。采用 AT89C52 單片機(jī)作為控制核心,利用單片機(jī) AT89C52 和數(shù)模轉(zhuǎn)換器件 DAC0832 產(chǎn)生所需不同信號的低頻信號源,其信號幅度和頻率都是可以按要求控制的。外圍采用數(shù)字/模擬轉(zhuǎn)換電路(DAC0832) 、運(yùn)放電路(LM

2、324) 、按鍵和 8 位數(shù)碼管等。通過按鍵控制可產(chǎn)生鋸齒波、三角波、正弦波、方波,同時采用四種不同顏色的LED 指示其對應(yīng)的波形。設(shè)計中簡要介紹了 DAC0832 數(shù)模轉(zhuǎn)換器的結(jié)構(gòu)原理和使用方法、AT89C52 的基礎(chǔ)理論,以及與設(shè)計電路有關(guān)的各種芯片。文中著重介紹了如何利用單片機(jī)控制 D/A 轉(zhuǎn)換器產(chǎn)生上述信號的硬件電路和軟件編程。其設(shè)計簡單、性能優(yōu)好,可用于多種需要低頻信號的場所,具有一定的實(shí)用性。本設(shè)計關(guān)于產(chǎn)生不同低頻信號的信號源的設(shè)計方案,不僅在理論和實(shí)踐上都能滿足實(shí)驗(yàn)的要求,而且具有很強(qiáng)的可行性。該波形發(fā)生器的特點(diǎn)是:體積小、價格低廉、性能穩(wěn)定、實(shí)現(xiàn)方便、功能齊全。關(guān)鍵詞:波形;幅

3、度;頻率;關(guān)鍵詞:波形;幅度;頻率;AT89C52AT89C52 AbstractWaveform generator is along with the progress of computer technology and microelectronics technology application in measuring instrument and the formation and development of a new type of source. Produce any waveform synthesis based on the number of usually i

4、s needed, will produce A periodic signal waveform several samples of the amplitude of the binary information stored in memory, again through the waveform hardware circuit from waveform in memory read out by D/A transformation, after the output signal waveform required.This system is based on single-

5、chip digital frequency AT89C52 signal generator. By AT89C52 single chip microcomputer as control core, microcontroller AT89C52 DAC0832 and analog-to-digital conversion device for different signal frequency signal, the signal amplitude and frequency can be controlled according to the requirements. Pe

6、ripheral USES digital circuit simulation/ (DAC0832), amplifier (LM324), buttons and eight digital pipe, etc. Through the button control can produce sawtooth wave, triangular, square, while using sine wave, four different color leds indicate the corresponding waveform. Design is briefly introduced th

7、e structure DAC0832 digital-to-analog converters principle and usage, the basic theory of AT89C52 and circuit design relevant chip. This paper mainly introduces how to use the single-chip microcomputer control D/A signal converter produces the hardware circuit and software programming. The design is

8、 simple and optimum performance is good, can be used in various needs of low frequency signal, has certain practical.The design of different frequency signals about the design scheme of the source, not only in theory and in practice can satisfy the requirements, and the experiment with strong feasib

9、ility. The waveform generator features are: small, cheap, stable performance, convenient, complete function.Keywords:waveform;Amplitude;Frequency;AT89C52 目 錄摘 要.IABSTRACT.II第 1 章 引言 .11.1 簡易波形發(fā)生器的發(fā)展現(xiàn)狀.11.2 單片機(jī)在低頻信號發(fā)生器中的應(yīng)用.1第 2 章 系統(tǒng)方案設(shè)計 .42.1 系統(tǒng)方案的比較.42.2 控制芯片的選擇.6第 3 章 硬件電路的設(shè)計.73.1 總體方案設(shè)計.73.2 單片機(jī)概述

10、.73.3 各部分電路設(shè)計.103.3.1 波形輸出控制按鍵與指示電路的設(shè)計.103.3.2 D/A 轉(zhuǎn)換電路的設(shè)計 .123.3.3 復(fù)位及晶振電路的設(shè)計.153.3.4 電源硬件電路 .17第 4 章 軟件設(shè)計 .194.1 主程序設(shè)計.194.2 子程序設(shè)計.204.2.1 鋸齒波程序 .204.2.2 三角波程序 .214.2.3 正弦波程序 .214.2.4 方波程序 .224.2.5 延時子程序 .23第 5 章 波形測試 .265.1 硬件測試波形.265.2 各種波形輸出結(jié)果.27第 6 章 結(jié)論 .28參 考 文 獻(xiàn) .29致 謝 .30附 錄 1 .31附 錄 2 .36第

11、 1 章 引言1.1 簡易波形發(fā)生器的發(fā)展現(xiàn)狀任意波形發(fā)生器是在 1975 年開發(fā)成功的,從此,信號發(fā)生器產(chǎn)品增加了一個新品種。在任意波形發(fā)生器作為測量用信號激勵源進(jìn)入市場之前,為了產(chǎn)生非正弦波信號,已使用函數(shù)發(fā)生器提供三角波、斜波、方波和余弦波等幾種特殊波形。聲音和振動分析需要復(fù)雜調(diào)制的信號源,以便仿真真實(shí)的信號,只有借助任意波形發(fā)生器。例如醫(yī)療儀器測試往往需要心電波形,任意波形發(fā)生器很容易產(chǎn)生各種非標(biāo)準(zhǔn)的振動信號1。早期的任意波形發(fā)生器主要著重音頻頻段,現(xiàn)在的任意波形發(fā)生器已擴(kuò)展到射頻頻段,它與數(shù)字示波器(DSO)密切配合,只要數(shù)字示波器捕獲的信號,任意波形發(fā)生器就能復(fù)制出同樣的波形。在電

12、路構(gòu)成上,數(shù)字示波器是模擬/數(shù)字轉(zhuǎn)換,任意波形發(fā)生器是數(shù)字/模擬的逆轉(zhuǎn)換,目前任意波形發(fā)生器的帶寬達(dá)到 2GHz,足夠仿真許多移動通信、衛(wèi)星電視的復(fù)雜信號。波形發(fā)生器亦稱函數(shù)發(fā)生器,作為實(shí)驗(yàn)用信號源,是現(xiàn)今各種電子電路實(shí)驗(yàn)設(shè)計應(yīng)用中必不可少的儀器設(shè)備之一。目前,市場上常見的波形發(fā)生器多為純硬件搭接而成,且波形種類有限,多為鋸齒波、正弦波、方波、三角波等波形。信號發(fā)生器作為一種常見的應(yīng)用電子儀器設(shè)備,傳統(tǒng)的可以完全由硬件電路搭接而成,如采用 555 振蕩電路發(fā)生正弦波、三角波和方波的電路是可取的路徑之一,不用依靠單片機(jī)。但是這種電路存在波形質(zhì)量差、控制難、可調(diào)范圍小、電路復(fù)雜和體積大等缺點(diǎn)。在科

13、學(xué)研究和生產(chǎn)實(shí)踐中,如工業(yè)過程控制、生物醫(yī)學(xué)、地震模擬機(jī)械振動等領(lǐng)域常常要用到低頻信號源。而由硬件電路構(gòu)成的低頻信號其性能難以令人滿意,而且由于低頻信號源所需的 RC 很大;大電阻、大電容在制作上有困難,參數(shù)的精度難以保證。體積大、漏電、損耗顯著更是其致命的弱點(diǎn)。一旦工作需求功能有增加,則電路復(fù)雜程度會大大增加。1.2 單片機(jī)在低頻信號發(fā)生器中的應(yīng)用當(dāng)今是科學(xué)技術(shù)及儀器設(shè)備高度智能化飛速發(fā)展的信息社會,電子技術(shù)的進(jìn)步,給人們帶來了根本性的轉(zhuǎn)變?,F(xiàn)代電子領(lǐng)域中,單片機(jī)的應(yīng)用正在不斷的走向深入,這必將導(dǎo)致傳統(tǒng)控制與檢測技術(shù)的日益革新。單片機(jī)構(gòu)成的儀器具有高可靠性、高性價比,在智能儀表系統(tǒng)和辦公自動

14、化等諸多領(lǐng)域得以極為廣泛的應(yīng)用,并走入家庭,從洗衣機(jī)、微波爐到音響汽車,處處可見其應(yīng)用。因此,單片機(jī)技術(shù)開發(fā)和應(yīng)用水平已逐步成為一個國家工業(yè)發(fā)展水平的標(biāo)志之一。一塊單片機(jī)芯片就是一臺計算機(jī)。由于單片機(jī)的這種特殊的結(jié)構(gòu)形式,在某些應(yīng)用領(lǐng)域中,它承擔(dān)了大中型計算機(jī)和通用微型計算機(jī)無法完成的一些工作2。使其具有很多顯著的優(yōu)點(diǎn)和特點(diǎn),因此在各個領(lǐng)域中都得到了迅猛的發(fā)展。單片機(jī)的特點(diǎn)歸納起來有以下幾個方面:1具有優(yōu)異的性價比單片機(jī)盡可能地把應(yīng)用所需的存儲器、各種功能的 I/O 接口集成在一塊芯片內(nèi),因而其性能很高,而價格卻相對較低廉,即性能價格比很高。2集成度高、體積小、可靠性高單片機(jī)把各種功能部件集成

15、在一塊芯片上,因而集成度高,均為大規(guī)模或超大規(guī)模集成電路。又內(nèi)部采用總線結(jié)構(gòu),減少了芯片之間的連線,這大大提高了單片機(jī)的可靠性與抗干擾能力。同時,其體積小、對于強(qiáng)磁場環(huán)境易于采取屏蔽措施,適合于在惡劣環(huán)境下工作。3控制功能強(qiáng)單片機(jī)體積雖小,但“五臟俱全” ,它非常適用于專門的控制用途。為了滿足工業(yè)控制要求,一般單片機(jī)的指令系統(tǒng)中有極豐富的轉(zhuǎn)移指令、I/O 口的邏輯操作指令以及位操作指令。其邏輯控制功能及運(yùn)行速度均高于同一檔次的微機(jī)。4低電壓、低功耗單片機(jī)大量用于攜帶式產(chǎn)品和家用消費(fèi)類產(chǎn)品,低電壓和低功耗尤為重要。目前,許多單片機(jī)已可在 2.2V 電壓下運(yùn)行,有的已能在 1.2V 或 0.9V

16、下工作,功耗降至 A 級,一粒鈕扣電池就可長期使用。利用單片機(jī)采用程序設(shè)計方法來產(chǎn)生低頻信號,其下限頻率很低。具有線路相對簡單、結(jié)構(gòu)緊湊、價格低廉、頻率穩(wěn)定度高、抗干擾能力強(qiáng)、用途廣泛等優(yōu)點(diǎn),并且能夠?qū)Σㄐ芜M(jìn)行細(xì)微調(diào)整,改良波形,使其滿足系統(tǒng)的要求。只要對電路稍加修改,調(diào)整程序,即可完成功能升級。本設(shè)計采用 AT89S52 單片機(jī)和一片 DAC0832 數(shù)模轉(zhuǎn)換器做成的數(shù)字式低頻信號發(fā)生器,它的特點(diǎn)是價格低、性能高,在低頻范圍穩(wěn)定性好、操作方便、體積小、耗電少等。信號發(fā)生器與其它相比還具有如下優(yōu)點(diǎn):(1)較分立元件信號發(fā)生器而言,具有頻率高、工作穩(wěn)定、容易調(diào)試等特性。(2)較專用 DDS 芯片

17、的信號發(fā)生器而言,具有結(jié)構(gòu)簡單、成本低等特性。第 2 章 系統(tǒng)方案設(shè)計2.1 系統(tǒng)方案的比較依據(jù)應(yīng)用場合需要實(shí)現(xiàn)的波形種類,波形發(fā)生器的具體指標(biāo)要求會有所不同。依據(jù)不同的設(shè)計要求選取不同的設(shè)計方案。通常,波形發(fā)生器需要實(shí)現(xiàn)的波形有鋸齒波、三角波、正弦波和方波。有些場合可能還需要任意波形的產(chǎn)生。各種波形共有的指標(biāo)有:波形的頻率、幅度要求、頻率穩(wěn)定度、準(zhǔn)確度等。對于不同波形,具體的指標(biāo)要求也會有所差異,例如,占空比是脈沖波形特有的指標(biāo)。波形發(fā)生器的設(shè)計方案多種多樣,大致可以分為三大類:純硬件設(shè)計法、純軟件設(shè)計法和軟硬件結(jié)合設(shè)計法。方案一:波形發(fā)生器設(shè)計的純硬件法早期波形發(fā)生器的設(shè)計主要是采用運(yùn)算放

18、大器加分立元件來實(shí)現(xiàn)。實(shí)現(xiàn)的波形比較單一,主要為正弦波、方波和三角波,工作原理也相對簡單。首先是產(chǎn)生正弦波,然后通過波形變換(正弦波通過比較器產(chǎn)生方波,方波經(jīng)過積分器變?yōu)槿遣?實(shí)現(xiàn)方波和三角波。在各種波形后加上一級放大電路,可以使輸出波形的幅度達(dá)到要求,通過開關(guān)電路實(shí)現(xiàn)不同輸出波形的切換,改變電路的具體參數(shù)可以實(shí)現(xiàn)頻率、幅度和占空比的改變。通過對電路結(jié)構(gòu)的優(yōu)化及所用元器件的嚴(yán)格選取可以提高電路的頻率穩(wěn)定性和準(zhǔn)確度。純硬件法中,正弦波的設(shè)計是基礎(chǔ),實(shí)現(xiàn)方法也比較多,電路形式一般有 LC、RC 和石英晶體振蕩器三類。LC 振蕩器適宜于產(chǎn)生幾 Hz 至幾百 MHz 的高頻信號;石英晶體振蕩器能產(chǎn)生

19、幾百 kHz 至幾十 MHz 的高頻信號且穩(wěn)定度高;對于頻率低于幾 MHz,特別是在幾百 Hz 時,常采用 RC 振蕩電路。RC 振蕩電路又分為文氏橋振蕩電路、雙 T 網(wǎng)絡(luò)式和移相式振蕩電路等類型。其中,以文氏橋振蕩電路最為常用。目前,實(shí)現(xiàn)波形發(fā)生器最簡單的方法是采用單片集成的函數(shù)信號發(fā)生器。它是將產(chǎn)生各種波形的功能電路集成優(yōu)化到一個集成電路芯片里,外加少量的電阻、電容元件來實(shí)現(xiàn)。采用這種方法的突出優(yōu)勢是電路簡單、實(shí)現(xiàn)方便、精度高、性能優(yōu)越;缺點(diǎn)是功能較全的集成芯片價格較貴。實(shí)際中應(yīng)用較多的單片函數(shù)信號發(fā)生器有 MAX038(最高頻率可達(dá) 40MHz)和 ICL8038(最高頻率為 300kH

20、z)。方案二:波形發(fā)生器設(shè)計的純軟件法波形發(fā)生器的設(shè)計還可以采用純軟件的方法來實(shí)現(xiàn)。虛擬儀器使傳統(tǒng)儀器發(fā)生了革命性的變化,是 21 世紀(jì)測試儀器領(lǐng)域技術(shù)發(fā)展的重要方向。它以計算機(jī)為基礎(chǔ),軟件為核心,沒有傳統(tǒng)儀器那樣具體的物理結(jié)構(gòu)。在計算機(jī)上實(shí)現(xiàn)儀器的虛擬面板,通過軟件設(shè)計實(shí)現(xiàn)和改變儀器的功能。例如用圖形化編程工具 LabVIEW 來實(shí)現(xiàn)任意波形發(fā)生器的功能。在 LabVIEW 軟件的前面板通過拖放控件,設(shè)計儀器的功能面板(如波形顯示窗口、波形選擇按鍵、波形存儲回放等工作界面) ,在軟件的后面板直接拖放相應(yīng)的波形函數(shù)并進(jìn)行參數(shù)設(shè)置或直接調(diào)用編程函數(shù)來設(shè)計任意波形以實(shí)現(xiàn)波形產(chǎn)生功能。完成的軟件打包

21、后,可脫離編程環(huán)境獨(dú)立運(yùn)行。實(shí)現(xiàn)任意波形發(fā)生器的功能。采用純軟件的虛擬儀器設(shè)計思路可以使設(shè)計簡單、高效,僅改變軟件程序就可以輕松實(shí)現(xiàn)波形功能的改變或升級。從長遠(yuǎn)角度來看,純軟件法成本較低。純軟件法的缺點(diǎn)是波形的響應(yīng)速度和精度遜色于硬件法。方案三:軟硬件結(jié)合法軟硬件結(jié)合軟硬件結(jié)合法軟硬件結(jié)合的波形發(fā)生器設(shè)計方法同時兼具軟硬件設(shè)計的優(yōu)勢,既具有純硬件設(shè)計的快速、高性能,同時又具有軟件控制的靈活性、智能性。如以單片機(jī)和單片集成函數(shù)發(fā)生器為核心。軟硬件結(jié)合的波形發(fā)生器如圖2-1 所示。輔以鍵盤控制、LED 顯示等電路,設(shè)計出智能型函數(shù)波形發(fā)生器。采用軟硬件結(jié)合的方法可以實(shí)現(xiàn)功能較全、性能更優(yōu)的波形發(fā)生

22、器,同時還可以擴(kuò)展波形發(fā)生器的功能,比如通過軟件編程控制實(shí)現(xiàn)波形的存儲、運(yùn)算、打印等功能,采用 USB 接口設(shè)計3。使波形發(fā)生器具有遠(yuǎn)程通信功能等。目前,實(shí)驗(yàn)、科研和工業(yè)生產(chǎn)中使用的信號源大多采用此方法來實(shí)現(xiàn)。 AT89C52單片機(jī)DAC0832復(fù)位鍵鍵盤波形指示圖 2-1 軟硬件結(jié)合的波形發(fā)生器純硬件設(shè)計法功能較單一、波形改變困難、控制的靈活性不夠,不具備智能性,其中由運(yùn)算放大器加分立元件組成的波形發(fā)生器,除在學(xué)生實(shí)驗(yàn)訓(xùn)練中使用外?;静槐徊捎?。純軟件設(shè)計法實(shí)現(xiàn)簡單,程序改變及功能升級靈活,但實(shí)現(xiàn)的波形精度及響應(yīng)速度不如硬件法高。純軟件法主要適用于對波形精度、響應(yīng)速度要求不高的場合。相比之下

23、,軟硬件結(jié)合的方法可以設(shè)計出性能最優(yōu)、功能擴(kuò)展靈活、控制智能化的新一代的波形發(fā)生器??梢詽M足教學(xué)、科研、工業(yè)生產(chǎn)等各方面對波形發(fā)生器性能有較高要求的應(yīng)用場合。綜合以上幾種設(shè)計方案,本設(shè)計采用方案三軟硬件設(shè)計的方法。其方案能夠產(chǎn)生很好的波形、易實(shí)現(xiàn)。2.2 控制芯片的選擇方案一:AT89C52 單片機(jī)是一種高性能 8 位單片微型計算機(jī)。它把構(gòu)成計算機(jī)的中央處理器 CPU、存儲器、寄存器、I/O 接口制作在一塊集成電路芯片中,從而構(gòu)成較為完整的計算機(jī)4。方案二:C8051F005 單片機(jī)是完全集成的混合信號系統(tǒng)級芯片,具有與AT80S52 兼容的微控制器的內(nèi)核,與 MCS-51 指令集完全兼容。除

24、了具有標(biāo)準(zhǔn)AT80S52 的數(shù)字外設(shè)部件之外,片內(nèi)還集成了數(shù)據(jù)采集和控制系統(tǒng)中常用的模擬部件和其他數(shù)字外設(shè)及功能部件。方案選擇:方案二中 C8051F005 芯片系統(tǒng)內(nèi)部結(jié)構(gòu)復(fù)雜,不易控制,芯片成本高,對于本系統(tǒng)而言利用率低,AT89S52 芯片比較常用,簡單易控制、成本低、性能穩(wěn)定故本設(shè)計采用方案一。第 3 章 硬件電路的設(shè)計3.1 總體方案設(shè)計系統(tǒng)框圖如圖 3-1 所示。按鍵電源單片機(jī)數(shù)模轉(zhuǎn)換基準(zhǔn)電壓電流/電壓轉(zhuǎn)換波形指示輸出圖 3-1 簡易波形發(fā)生器系統(tǒng)框圖簡易波形發(fā)生器系統(tǒng)主要由 CPU、D/A 轉(zhuǎn)換電路、基準(zhǔn)電壓電路、電流/電壓轉(zhuǎn)換電路、按鍵和波形指示電路、電源等電路組成。當(dāng)按下四個

25、按鍵中的任一個按鍵,輸出端分別輸出鋸齒波、三角波、正弦波、方波,并且有四個發(fā)光二極管分別作為不同波形的指示燈。3.2 單片機(jī)概述AT89C52 系列單片機(jī)是 ATMEL 公司生產(chǎn)的6。這是當(dāng)前最新的一種電擦寫8 位單片機(jī),與 MCS-51 系列完全兼容,有超強(qiáng)的加密功能,可完全替代87C51/52 和 8751/52。物美價廉,深受用戶歡迎6。AT89C52 是一種低功耗、高性能內(nèi)含 8K 字節(jié)閃電存儲器(Flash Memory)的 8 位 CMOS 微控制器。片內(nèi)閃電存儲器的程序代碼或數(shù)據(jù)可在線寫入,可通過常規(guī)的編程器編程。例如,MP-100 這樣一種經(jīng)濟(jì)型的編程器,它支持通用EPROM

26、等各種存儲器、PAL、GAL 以及 INTEL、ATMEL 和 PHILIPS 等各公司的全系列 51 單片機(jī)的編程。ME5103 和 ME5105 仿真器支持 AT89 系列所有器件的調(diào)試、仿真和編程。單片機(jī) AT89C52 有內(nèi)部 RAM,可以作為各種數(shù)據(jù)區(qū)使用,內(nèi)部閃電存儲器存放控制程序。它的主要功能是完成鍵盤掃描程序,檢測外部的操作指令,控制液晶顯示模式和向液晶發(fā)送顯示數(shù)據(jù)等。AT89C52 引腳圖如圖 3-2 所示。圖 3-2 AT89C52 引腳圖 低頻信號發(fā)生器采用 AT89S52 單片機(jī)作為控制核心,其內(nèi)部組成包括:一個 8 位的微處理器 CPU 及片內(nèi)振蕩器和時鐘產(chǎn)生電路,但

27、石英晶體和微調(diào)電容需要外接;片內(nèi)數(shù)據(jù)存儲器 RAM 低 128 字節(jié),存放讀/寫數(shù)據(jù);高 128 字節(jié)被特殊功能寄存器占用;片內(nèi)程序存儲器 4KB ROM;四個 8 位并行 I/O(輸入/輸出)接口 P3-P0,每個口可用作輸入,也可用作輸出;兩個定時/計數(shù)器,每個定時/計數(shù)器都可以設(shè)置成計數(shù)方式,用以對外部事件進(jìn)行計數(shù),也可以設(shè)置成定時方式,并可以根據(jù)計數(shù)或定時的結(jié)果實(shí)現(xiàn)計算機(jī)控制;五個中斷源的中斷控制系統(tǒng);一個全雙工 UART(通用異步接收發(fā)送器)的串行 I/O 口5。VCC:供電電壓。GND:接地。RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時,要保持 RST 腳兩個機(jī)器周期的高電平時間。ALE/

28、PROG:當(dāng)訪問外部存儲器時,地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在 FLASH 編程期間,此引腳用于輸入編程脈沖。在平時,ALE 端以不變的頻率周期輸出正脈沖信號,此頻率為振蕩器頻率的 1/6。因此它可用作對外部輸出的脈沖或用于定時的目的。每當(dāng)用作外部數(shù)據(jù)存儲器時,將跳過一個 ALE 脈沖。如禁止 ALE 的輸出可在 SFR8EH 地址上置 0。此時,ALE 只有在執(zhí)行 MOVX、MOVC 指令是 ALE 才起作用。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài) ALE 禁止,則置位無效。:外部程序存儲器的選通信號。在由外部程序存儲器取指期間,每PSEN個機(jī)器周期兩次有效。但在

29、訪問外部數(shù)據(jù)存儲器時,這兩次有效的PSEN信號將不出現(xiàn)。PSEN/VPP:當(dāng)保持低電平時,則在此期間外部程序存儲器(0000H-EAEAFFFFH) ,不管是否有內(nèi)部程序存儲器。注意加密方式 1 時,將內(nèi)部鎖定為EARESET;當(dāng)端保持高電平時,此間內(nèi)部程序存儲器。在 FLASH 編程期間,此EA引腳也用于加 12V 編程電源(VPP) 。XTAL1:反向振蕩放大器的輸入及內(nèi)部時鐘工作電路的輸入。XTAL2:來自反向振蕩器的輸出。89S52 單片機(jī)外部有 32 個端口可供用戶使用,其功能如表 3-1 所示。 表 3-1 AT89C52 并行 I/O 接口第一功能第二功能端口引腳位置符 號功 能

30、符 號功 能P039-32P0.0-P0.7通用 I/0 口AD0-AD7地址數(shù)據(jù)總線P11-8P1.0-P1.7通用 I/0 口P221-28P2.0-P2.7通用 I/0 口A8-A15地址總線(高位)10P3.0RXD串行通信發(fā)送口11P3.1TXD串行通信接收口12P3.2INT0外部中斷 013P3.3INT1外部中斷 114P3.4T0計數(shù)器 0 輸入端口15P3.5T1計數(shù)器 1 輸入端口16P3.6WR外部存儲器寫功能P317P3.7通用 I/0 口RD外部存儲器讀功能P0 口:P0 口為一個 8 位漏級開路雙向 I/O 口,每腳可吸收 8TTL 門電流。當(dāng) P1 口的管腳第一

31、次寫 1 時,被定義為高阻輸入。P0 能夠用于外部程序數(shù)據(jù)存儲器,它可以被定義為數(shù)據(jù)/地址的第八位。在 FIASH 編程時,P0 口作為原碼輸入口,當(dāng) FIASH 進(jìn)行校驗(yàn)時,P0 輸出原碼,此時 P0 外部必須被拉高。P1 口:P1 口是一個內(nèi)部提供上拉電阻的 8 位雙向 I/O 口,P1 口緩沖器能接收輸出 4TTL 門電流。P1 口管腳寫入 1 后,被內(nèi)部上拉為高,可用作輸入,P1 口被外部下拉為低電平時,將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH 編程和校驗(yàn)時,P1 口作為第八位地址接收。P2 口:P2 口為一個內(nèi)部上拉電阻的 8 位雙向 I/O 口,P2 口緩沖器可接收,輸出

32、4 個 TTL 門電流,當(dāng) P2 口被寫“1”時,其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時,P2 口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2 口當(dāng)用于外部程序存儲器或 16 位地址外部數(shù)據(jù)存儲器進(jìn)行存取時,P2 口輸出地址的高八位。在給出地址“1”時,它利用內(nèi)部上拉優(yōu)勢,當(dāng)對外部八位地址數(shù)據(jù)存儲器進(jìn)行讀寫時,P2 口輸出其特殊功能寄存器的內(nèi)容。P2 口在 FLASH 編程和校驗(yàn)時接收高八位地址信號和控制信號。P3 口:P3 口管腳是 8 個帶內(nèi)部上拉電阻的雙向 I/O 口,可接收輸出 4 個TTL 門電流。當(dāng) P3 口寫入“1”后,它們被內(nèi)部上拉為高電平,并用作

33、輸入。作為輸入,由于外部下拉為低電平,P3 口將輸出電流(ILL)這是由于上拉的緣故。P3 口也可作為 AT89C52 的一些特殊功能口,如下所示: P3.0 RXD(串行輸入口)P3.1 TXD(串行輸出口)P3.2 (外部中斷 0)INT0P3.3 (外部中斷 1)INT1P3.4 T0(計時器 0 外部輸入)P3.5 T1(計時器 1 外部輸入)P3.6 (外部數(shù)據(jù)存儲器寫選通)WRP3.7 (外部數(shù)據(jù)存儲器讀選通)RDP3 口同時為閃爍編程和編程校驗(yàn)接收一些控制信號。3.3 各部分電路設(shè)計3.3.1 波形輸出控制按鍵與指示電路的設(shè)計1波形輸出控制按鍵電路的設(shè)計本設(shè)計以鍵盤的數(shù)目來選擇鍵

34、盤最適合的接法,因?yàn)楸驹O(shè)計鍵盤的數(shù)目為4個,所以最佳的接口方案是獨(dú)立式接法,即每一個I/O口上只接一個按鍵,按鍵的另一端接電源或接地(一般接地)。占用的I/O口數(shù)最大為4條。(注意:14 按鍵的鍵盤的接法許多,如果接成掃描式可以占用更少的I/O口,但從程序復(fù)雜性和系統(tǒng)穩(wěn)定性的綜合考慮,獨(dú)立式鍵盤接法應(yīng)該是首選)獨(dú)立式鍵盤的實(shí)現(xiàn)方法是利用單片機(jī)I/O口讀取口的電平高低來判斷是否有鍵按下。例如,將常開按鍵的一端接地,另一端接一個I/O口,程序開始時將此I/O口置于高電平,當(dāng)無鍵按下時I/O口保護(hù)高電平。當(dāng)有鍵按下時,此I/O 口與地短路則使I/O 口為低電平。按鍵釋放后,單片機(jī)內(nèi)部的上拉電阻使I/

35、O口仍然保持高電平。在程序中查尋此I/O口的電平狀態(tài)就可以了解是否有按鍵動作7?;?AT89C52 單片機(jī)的波形輸出控制按鍵電路的設(shè)計如圖 3-1 所示。R510KR410KR310KR210K鋸齒波SW-PB三角波SW-PB正弦波SW-PB方波SW-PB+5VP1.0P1.1P1.2P1.31234圖 3-1 波形輸出控制按鍵電路2波形指示電路的設(shè)計基于 AT89C52 單片機(jī)的波形指示電路的設(shè)計是通過按鍵控制可產(chǎn)生鋸齒波、三角波、正弦波、方波,同時采用四種不同顏色的 LED 指示其對應(yīng)的波形。基于 AT89C52 單片機(jī)的波形指示電路的設(shè)計如圖 3-2 所示。R610KR710KR810

36、KR910K黃色LE D紅色LE D綠色LE D藍(lán)色LE D+5V5678P1.4P1.5P1.6P1.7圖 3-2 波形指示電路3.3.2 D/A 轉(zhuǎn)換電路的設(shè)計1DAC0832 的簡介模擬量輸出通道的作用是將經(jīng)智能儀器處理后的數(shù)據(jù)轉(zhuǎn)換成模擬量送出,它是許多智能設(shè)備(例如 X-Y 繪圖儀、電平記錄儀、波形發(fā)生器等)的重要組成部分。模擬量輸出通道一般有 D/A 轉(zhuǎn)換器、多路模擬開關(guān)、采樣/保持器等組成。 美國國家半導(dǎo)體公司的 DAC0832 芯片是具有兩個輸入數(shù)據(jù)寄存器的 8 位DAC,它能直接與單片機(jī)相連接,其主要特性如下:分辨率 8 位;電流輸出,穩(wěn)定時間為 1us;可雙緩沖、單緩沖或直接

37、數(shù)字輸出;只需在滿量程下調(diào)整其線性度;精度:1LSB;功耗 2W;參考電壓:+10V-10V;單一電源供電: +5V+15V。2DAC0832 的接口電路DAC0832 由 8 位輸入鎖存器、8 位 DAC 寄存器、8 位 D/A 轉(zhuǎn)換電路所構(gòu)成。是電流輸出型 D/A 轉(zhuǎn)換芯片。在單片機(jī)應(yīng)用系統(tǒng)中,通常需要電壓信號,使用時,Rfb、IOUT1、IOUT2 3 個引腳外接運(yùn)算放大器,以便將轉(zhuǎn)換后的電流變換成電壓輸出8。DAC0832的電壓輸出電路原理圖如圖3-3所示。圖3-3 DAC0832的電壓輸出電路D/A 轉(zhuǎn)換器的數(shù)字量輸入端可以分為:不含數(shù)據(jù)鎖存器;含單個數(shù)據(jù)鎖存器;含雙數(shù)據(jù)鎖存器三種情

38、況。第一種與微機(jī)接口時一定要外加數(shù)據(jù)鎖存器,以便維持 D/A 轉(zhuǎn)換輸出穩(wěn)定。后兩種與微機(jī)接口時可以不外加數(shù)據(jù)鎖存器。第三種可用與多個 D/A 轉(zhuǎn)換器同時轉(zhuǎn)換的場合。D/A 轉(zhuǎn)換器的輸出電路有單極性和雙極性之分。若外接一個運(yùn)算放大器為單極性輸出,若使用了兩個運(yùn)算放大器為雙極性輸出。圖 3-4(a)所示的電路是將一個 8 位 D/A 轉(zhuǎn)換器連接成單極性輸出方式的電路,其輸出輸入關(guān)系式為 Uout=-Vref/28*D (3-1)即輸出為全正或?yàn)槿?fù)9。其數(shù)字量與模擬量的關(guān)系如圖 3-4(b)所示。圖 3-4 D/A 轉(zhuǎn)換器單極性輸出電路在實(shí)際使用中,有時還需要雙極性輸出,如輸出為-5V+5V、-1

39、0V+10V。圖 3-5(a)給出了將 D/A 芯片連接成雙極性輸出的電路圖,其原理是:基準(zhǔn)電壓 Vref 經(jīng) R1 向 A2 提供一個偏流 I1,A1 的輸出 U1 經(jīng) R2 向 A2提供偏流 I2,因此運(yùn)算放大器的輸入為偏流 I1,I2 之代數(shù)和。由于 R1 與 R2的比值為 2:1,因此,輸出電壓 Vout 與基準(zhǔn)電壓 Vref 及 A1 輸出電壓 U1 的關(guān)系為Uout=-(2U1+Vref) (3-2)其數(shù)字量與模擬量的關(guān)系如圖 3-5(b)所示。圖 3-5 D/A 轉(zhuǎn)換器雙極性輸出電路在與微處理器接口時,DAC0832 可以采用雙緩沖方式(雙級輸入鎖存) ,也可以采用單緩沖方式(只

40、用一級輸入鎖存,另一級始終直通) ,或者接成全通的形式10,再外加鎖存器與微機(jī)接口,因此,這種 D/A 轉(zhuǎn)換器使用非常靈活方便。3LM324 工作原理LM324 管腳功能如圖 3-6 所示。圖 3-6 LM324 管腳圖LM324 時四運(yùn)放集成電路,它采用 14 腳雙列直插塑料封袋,外形如圖 3-6所示。它的內(nèi)部包含四組形式完全相同的運(yùn)算放大器,除電源共用外,四組運(yùn)放相互獨(dú)立。每一組運(yùn)算放大器可用圖中所示的符號來表示,它有 5 個引出腳,其中“+” 、 “-”為兩個信號輸入端, “V+” 、 “V-”為正、負(fù)電源端, “OUT”為輸出端。兩個信號輸入端中, “-”為反相輸入端,表示運(yùn)放輸出端

41、OUT 的信號與該輸入端的為相反;“+”為同相輸入端,表示運(yùn)放輸出端 OUT 的信號與輸入端的相位相同。由于 LM324 四運(yùn)放電路具有電源電壓范圍寬、靜態(tài)功耗小、價格低廉等優(yōu)點(diǎn),因此被廣泛應(yīng)用在各種電路中。在此設(shè)計中采用 LM324 的三組運(yùn)放,分別置于第一級輸出,第一、二級之間,第二級輸出。3.3.3 復(fù)位及晶振電路的設(shè)計1復(fù)位電路的設(shè)計影響單片機(jī)系統(tǒng)運(yùn)行穩(wěn)定性的因素可大體分為外因和內(nèi)因兩部分:(1)外因:射頻干擾,它是以空間電磁場的形式傳遞,在機(jī)器內(nèi)部的導(dǎo)體(引線或零件引腳)感生出相應(yīng)的干擾,可通過電磁屏蔽和合理的布線/器件布局衰減該類干擾;電源線或電源內(nèi)部產(chǎn)生的干擾,它是通過電源線或電

42、源內(nèi)的部件耦合或直接傳導(dǎo),可通過電源濾波、隔離等措施來衰減該類干擾11。(2)內(nèi)因:振蕩源的穩(wěn)定性,主要由起振時間、頻率穩(wěn)定度和占空比穩(wěn)定度決定,起振時間可由電路參數(shù)整定。穩(wěn)定度受振蕩器類型和電壓等參數(shù)影響復(fù)位電路的可靠性。 復(fù)位電路的基本功能是:系統(tǒng)上電時提供復(fù)位信號,直至系統(tǒng)電源穩(wěn)定后,撤銷復(fù)位信號。為可靠起見,電源穩(wěn)定后還要經(jīng)一定的延時才撤銷復(fù)位信號,以防電源開關(guān)或電源插頭分-合過程中引起的抖動而影響復(fù)位。圖 3-7 所示的RC 復(fù)位電路可以實(shí)現(xiàn)上述基本功能。圖 3-7 復(fù)位電路2晶振電路的設(shè)計單片機(jī)的時鐘信號是用來為芯片內(nèi)部各種微操作提供時間基準(zhǔn)。AT89C52的時鐘產(chǎn)生方式:外部振蕩

43、和內(nèi)部時鐘方式12。(1)外部震蕩將外部已有的時鐘信號引入單片機(jī),常見的幾種電路結(jié)構(gòu)如圖 3-8 所示。外部時鐘源應(yīng)是方波發(fā)生器,頻率應(yīng)根據(jù)所用 MCS-51 中的具體機(jī)型確定。(a)HMOS 和 CHMOS 型器 (b)HMOS 器件的外 (c)CHMOS 器件的外件的外部時鐘連接圖 部時鐘連接圖 部時鐘連接圖圖 3-8 外部時鐘方式(2)內(nèi)部振蕩方式AT89C52 芯片內(nèi)部有一個高增益反相放大器,用于構(gòu)成振蕩器。反相放大器的輸入端為 XTAL1,輸出端為 XTAL2,分別為 AT89C52 的 19 引腳和 18 引腳,在 XTAL1 和 XTAL2 兩端跨接石英晶體及兩個電容就可以構(gòu)成穩(wěn)

44、定的自激振蕩器,如圖 3-9 所示。石英晶振起振后,應(yīng)能在 XTAL2 線上輸出一個 3V 左右的正弦波,以便使 MCS-51 片內(nèi)的振蕩器 OSC 電路按石英晶振相同頻率自激振蕩。通常 OSC 的輸出時鐘頻率為 0.516MHz,典型值為 12MHz 或 11.0592MHz。scfo電容 C01 和 C02 通常取 30pF 左右,對振蕩頻率有微調(diào)作用。圖 3-9內(nèi)部震蕩方式3.3.4 電源硬件電路本論文所需的部分電源需要用+5V 直流電源和12V 直流電源供電,其電路如圖 3-10、3-11 所示。把頻率為 50Hz、有效值為 220V 的單相交流電壓轉(zhuǎn)換為幅值穩(wěn)定的+5V 直流電壓。其

45、通過電源變壓器、整流電路、濾波電路、穩(wěn)壓電路轉(zhuǎn)換成穩(wěn)定的直流電壓。由于輸入電壓為電網(wǎng)電壓,一般情況下所需直流電壓的數(shù)值和電網(wǎng)電壓的有效值相差較大,因而電源變壓器的作用顯現(xiàn)出來起到降壓作用。降壓后還是交流電壓,所以需要整流電路把交流電壓轉(zhuǎn)換成直流電壓。由于經(jīng)整流電路整流后的電壓含有較大的交流分量,會影響到負(fù)載電路的正常工作。需通過低通濾波電路濾波,使輸出電壓平滑13。穩(wěn)壓電路的功能是使輸出直流電壓基本不受電網(wǎng)電壓波動和負(fù)載電阻變化的影響,從而獲得穩(wěn)定性足夠高的直流電壓。本電路使用集成穩(wěn)壓芯片 7805 解決了電源穩(wěn)壓問題。T3三220VC12200uFC22200uFC30.1uFC40.1uF

46、+16V-16V+12VLM7812CKVin1Vout3GND-12VLM7912CKVin2Vout3GNDC37100nC36330nC52.2uFC61uF14568圖 3-10 12V 直流電源電路1234BRIDGE1C6220mF/16VC5330mF/16VT122:1+5V三220VLM7805CKVin1+5V2GND3圖 3-11 +5V 直流電源電路電路原理圖見附錄 2。第 4 章 軟件設(shè)計主程序和子程序都存放在 AT89C52 單片機(jī)中。主程序的功能是:開機(jī)以后負(fù)責(zé)查鍵,即做鍵盤掃描及顯示工作,然后根據(jù)用戶所按的鍵轉(zhuǎn)到相應(yīng)的子程序進(jìn)行處理 。軟件設(shè)計上,根據(jù)功能分了幾

47、個模塊編程。模塊主要有:主程序模塊、鋸齒波模塊、三角波模塊、正弦波模塊、方波模塊、延時子程序模塊等。顯示波形模塊是利用 DAC0832 的 8 位特點(diǎn),把波形的數(shù)據(jù)以 8 位數(shù)據(jù)的形勢送進(jìn) CPU 中,只要按鍵就能顯示波形14。4.1 主程序設(shè)計主程序流程圖如圖 4-1 所示。圖 4-1 主程序流程圖本軟件設(shè)計過程中主要實(shí)現(xiàn)利用按鍵來控制不同波形的輸出,當(dāng)按鍵 1 按下時,波形發(fā)生器就輸出鋸齒波;當(dāng)按鍵 2 按下時,波形發(fā)生器就輸出三角波;當(dāng)按鍵 3 按下時,波形發(fā)生器就輸出正弦波;當(dāng)按鍵 4 按下時,波形發(fā)生器就輸出方波。通過按鍵可以以任意循環(huán)方式輸出不同波形。4.2 子程序設(shè)計4.2.1

48、鋸齒波程序鋸齒波程序流程圖如圖 4-2 所示。圖 4-2 鋸齒波程序流程圖鋸齒波的產(chǎn)生,首先將將 00H 送入累加器 A 中,DAC0832 輸出 A 中的內(nèi)容,通過 A 中數(shù)值的加一遞升,當(dāng) A 中的內(nèi)容不為 FFH 時,由 DAC0832 輸出對應(yīng)的模擬量;當(dāng) A 中的內(nèi)容為 FFH 時,重新給累加器 A 賦值 00H,從而循環(huán)產(chǎn)生鋸齒波。4.2.2 三角波程序三角波程序流程圖如圖 4-3 所示。圖 4-3 三角波程序流程圖三角波的產(chǎn)生,將 00H 送入累加器 A 中,由 DAC0832 輸出對應(yīng)的模擬量,然后 A 中數(shù)值的加一遞升,當(dāng) A 中的內(nèi)容不為 FFH 時,由 DAC0832 輸

49、出對應(yīng)的模擬量;當(dāng) A 中的內(nèi)容為 FFH 時,A 中數(shù)值的減一遞減,當(dāng) A 中的內(nèi)容不為00H 時,由 DAC0832 輸出對應(yīng)的模擬量,當(dāng) A 中的內(nèi)容為 00H 時, A 中數(shù)值的再次加一遞升,從而循環(huán)產(chǎn)生三角波。4.2.3 正弦波程序正弦波程序流程圖如圖 4-4 所示。圖 4-4 正弦波程序流程圖正弦波波形設(shè)計通過查表指令得出。4.2.4 方波程序方波程序流程圖如圖 4-5 所示。圖 4-5 方波程序流程圖方波的產(chǎn)生,將 00H 送入累加器 A 中,輸出對應(yīng)模擬量,調(diào)用延時,將FFH 送入累加器 A 中,同樣輸出對應(yīng)模擬量,調(diào)用延時,循環(huán)從而得到方波。4.2.5 延時子程序延時程序流程

50、圖如圖 4-6 所示。圖 4-6 延時程序流程圖延時程序如下:DELY:MOV R7,#10HDLY0:MOV R6,#0EDH NOPDLY1:DJNZ R6,DLY1 DJNZ R7,DLY0 RET方波的上限和下限的延時時間為:7ms S=1+(1+1+2237+2)16+1=7648S (4-1)第 5 章 波形測試5.1 硬件測試波形 1當(dāng)按鍵 1 撥下時,波形為鋸齒波,同時指示燈 1 發(fā)光。波形圖如圖 5-1所示。 圖 5-1 鋸齒波波形圖2當(dāng)按鍵 2 撥下時,波形為三角波,同時指示燈 2 發(fā)光。波形圖如圖 5-2 所示。圖 5-2 三角波波形圖3當(dāng)按鍵 3 撥下時,波形為正弦波,

51、同時指示燈 3 發(fā)光。波形圖如圖 5-3 所示。 圖 5-3 正弦波波形圖4當(dāng)按鍵 4 撥下時,波形為方波,同時指示燈 4 發(fā)光。波形圖如圖 5-4所示。圖 5-4 方波波形圖5.2 各種波形輸出結(jié)果鋸齒波:=1.2v f=800Hzp-Vp三角波:=1.5v f=5.6kHzp-Vp正弦波:=3.5v f=1.3kHzp-Vp方波: =5.2v f=100Hzp-Vp第 6 章 結(jié)論本設(shè)計介紹的是基于 AT89C52 單片機(jī)和數(shù)模轉(zhuǎn)換器件 DAC0832 產(chǎn)生所需不同信號的低頻信號源,低頻信號源的信號幅度和頻率都是可以按要求控制的。通過按鍵控制可產(chǎn)生鋸齒波、三角波、正弦波、方波等,同時用 L

52、ED 顯示燈指示對應(yīng)的波形。在將電路和實(shí)物連接的情況下再將程序下載至單片機(jī)中進(jìn)行實(shí)際電路的測試時,電路輸出的四種波形基本都可以看到,其中鋸齒波、三角波以及正弦波的輸出誤差較大,方波波形較為理想。但是基本上是符合設(shè)計要求的。鋸齒波和三角波輸出波形中雜波成分較大,波形不是較理想,這與實(shí)際要求存在一些誤差,這些誤差的來源主要是由于電路的具體參數(shù)選擇不好以及程序的時延所造成的。當(dāng)然在實(shí)際電路測量時由于實(shí)驗(yàn)環(huán)境的干擾也會對輸出波形有一些影響,這些影響主要是使輸出波形產(chǎn)生寄生的高頻雜波,具體表現(xiàn)就是使輸出波形質(zhì)量變壞,波形邊厚。在對電路進(jìn)行調(diào)試時適當(dāng)改變數(shù)模轉(zhuǎn)換電路及輸出放大電路參數(shù),這樣電路輸出結(jié)果相對

53、較好一些。本系統(tǒng)設(shè)計簡單、性能較好,具有一定的實(shí)用性。 參 考 文 獻(xiàn)1 孫俊逸,盛秋林等單片機(jī)原理及應(yīng)用M北京:清華大學(xué)出版社,20012 蔡美琴M(fèi)CS-51 系列單片機(jī)系統(tǒng)及其應(yīng)用M北京:高等教育出版社,20003 孫育才,孫華芳等單片機(jī)原理及應(yīng)用M北京:電子工業(yè)出版社,20034 李鴻單片機(jī)原理及應(yīng)用M湖南:湖南大學(xué)出版社,20045 胡漢才單片機(jī)原理及接口技術(shù)M北京:清華大學(xué)出版社,19966 潘新民,王燕芳微型計算機(jī)控制技術(shù)M北京:高等教育出版社,20047 馬忠梅單片機(jī)的語言應(yīng)用程序設(shè)計M北京:北京北航出版社,20038 范立南單片微機(jī)接口與控制技術(shù)M沈陽:遼寧大學(xué)出版社,1996

54、9 童詩白模擬電子技術(shù)基礎(chǔ)M北京:高等教育出版社,200110 李華MCS-51 系列單片機(jī)實(shí)用接口技術(shù)M北京:北京航空航天大學(xué)出版社,199311 劉守義單片機(jī)應(yīng)用技術(shù)M西安:西安電子科技大學(xué)出版社,200212 張毅剛,彭喜元新編 MCS-51 單片機(jī)應(yīng)用設(shè)計M哈爾濱:哈爾濱工業(yè)大學(xué)出版社,2003 13 陸子明,徐長根單片機(jī)設(shè)計與應(yīng)用基礎(chǔ)教程M北京:國防工業(yè)出版社,200514 張友德. 單片微型機(jī)原理、應(yīng)用與實(shí)踐M. 上海:復(fù)旦大學(xué)出版社,1992.15 李朝青單片機(jī)原理及接口技術(shù)M北京:北京航空航天大學(xué)出版社,1994.致 謝本設(shè)計是在周彬和張冬梅老師的精心指導(dǎo)和大力支持下完成的。周

55、老師和張老師以其嚴(yán)謹(jǐn)求實(shí)的治學(xué)態(tài)度、高度的敬業(yè)精神、兢兢業(yè)業(yè)、孜孜以求的工作作風(fēng)和大膽創(chuàng)新的進(jìn)取精神對我產(chǎn)生了重要的影響。他們淵博的知識、開闊的視野和敏銳的思維給了我深深的啟迪。在設(shè)計的過程中,老師對每個細(xì)節(jié)都做了細(xì)致的分析和指導(dǎo),并提供了很多與該題目相關(guān)的重要信息,給了我們莫大的幫助和支持,不但對我的設(shè)計有很大的幫助,而且培養(yǎng)了我對科學(xué)研究的嚴(yán)謹(jǐn)態(tài)度和創(chuàng)新精神。這次畢業(yè)設(shè)計也得到了其他老師的熱心幫助和大力支持,沒有他們的指導(dǎo)和幫助,本設(shè)計難以順利完成。最后,對他們付出的辛勤勞動再次表示誠摯的謝意,同時也對負(fù)責(zé)此次論文主審老師的辛勤工作表示衷心感謝。附 錄KEY1 BIT P1.0KEY2 B

56、IT P1.1KEY3 BIT P1.2KEY4 BIT P1.3按鍵 KEY1:鋸齒波按鍵 KEY2:三角波 按鍵 KEY3:正弦波 按鍵 KEY4:方波ORG 0000HLJMP MAINORG 0030HMAIN:MOV SP,#50HMOV DPTR,#7FFFHMOV P2,#00HMOV A,#00HMOVX DPTR,ASCAN_KEY1:MOV A,P1 ANL A,#0FH CJNE A,#0EH,SCAN_KEY2 LJMP B1SCAN_KEY2:MOV A,P1 ANl A,#0FH CJNE A,#0DH,SCAN_KEY3 LJMP B2SCAN_KEY3:MOV

57、A,P1 ANl A,#0FH CJNE A,#0BH,SCAN_KEY4 LJMP B3SCAN_KEY4:MOV A,P1 ANl A,#0FH CJNE A,#07H,SCAN_KEY1 LJMP B4;利用 DAC0832 產(chǎn)生鋸齒波;B1:CLR P1.4 SETB P1.5 SETB P1.6 SETB P1.7LP: MOV A,#00H MOV DPTR,#4000H MOVX DPTR,A LP1: INC A MOV P2,A CJNE A,#0FFH,LP1 LJMP SCAN_KEY1;利用 DAC0832 產(chǎn)生三角波;B2:CLR P1.5 SETB P1.4 SET

58、B P1.6 SETB P1.7UP: MOV A,#00HUP1: INC A MOV P2,A NOP NOP CJNE A,#0F0H,UP1DOWN: DEC A MOV P2,A NOP NOP CJNE A,#00H,DOWN LJMP SCAN_KEY2;利用 DAC0832 產(chǎn)生正弦波;B3:MOV R1,#00H;取表格初值 ;在表格里取數(shù)送到指定地址 LOOP1:CLR P1.6 SETB P1.4 SETB P1.5 SETB P1.7 MOV A,R1 MOV DPTR,#SETTAB MOVC A,A+DPTR MOV DPTR,#4000H MOVX DPTR,A

59、MOV P2,A INC R1;表格加一 CJNE R1,#0FFH,LOOP1SETTAB: ;正弦表格; DB 80H,83H,86H,89H,8DH,90H,93H,96H DB 99H,9CH,9FH,0A2H,0A5H,0A8H,0ABH,0AEH DB 0B1H,0B4H,0B7H,0BAH,0BCH,0BFH,0C2H,0C5H DB 0C7H,0CAH,0CCH,0CFH,0D1H,0D4H,0D6H,0D8H DB 0DAH,0DDH,0DFH,0E1H,0E3H, 0E5H,0E7H,0E9H DB 0EAH,0ECH,0EEH,0EFH,0F1H, 0F2H,0F4H,0F5H DB

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

最新文檔

評論

0/150

提交評論