基于24L01+1302+1602的無(wú)線傳輸及顯示的時(shí)鐘日歷的51單片機(jī)程序_第1頁(yè)
基于24L01+1302+1602的無(wú)線傳輸及顯示的時(shí)鐘日歷的51單片機(jī)程序_第2頁(yè)
基于24L01+1302+1602的無(wú)線傳輸及顯示的時(shí)鐘日歷的51單片機(jī)程序_第3頁(yè)
基于24L01+1302+1602的無(wú)線傳輸及顯示的時(shí)鐘日歷的51單片機(jī)程序_第4頁(yè)
基于24L01+1302+1602的無(wú)線傳輸及顯示的時(shí)鐘日歷的51單片機(jī)程序_第5頁(yè)
已閱讀5頁(yè),還剩15頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、/發(fā)射#include /發(fā)射#include typedef unsigned char uchar;typedef unsigned char uint;/*NRF24L01*/#define TX_ADR_WIDTH 5 / 5 uints TX address width#define RX_ADR_WIDTH 5 / 5 uints RX address width#define TX_PLOAD_WIDTH 14 / 20 uints TX payload#define RX_PLOAD_WIDTH 14 / 20 uints TX payload/*NRF24L01寄存器指令#d

2、efine READ_REG 0x00 / 讀寄存器指令#define WRITE_REG 0x20 / 寫寄存器指令#define RD_RX_PLOAD 0x61 / 讀取接收數(shù)據(jù)指令#define WR_TX_PLOAD 0xA0 / 寫待發(fā)數(shù)據(jù)指令#define FLUSH_TX 0xE1 / 沖洗發(fā)送 FIFO指令#define FLUSH_RX 0xE2 / 沖洗接收 FIFO指令#define REUSE_TX_PL 0xE3 / 定義重復(fù)裝載數(shù)據(jù)指令#define NOP 0xFF / 保留/*SPI(nRF24L01)寄存器地址#define CONFIG 0x00 / 配置

3、收發(fā)狀態(tài),CRC校驗(yàn)?zāi)J揭约笆瞻l(fā)狀態(tài)響應(yīng)方式#define EN_AA 0x01 / 自動(dòng)應(yīng)答功能設(shè)置#define EN_RXADDR 0x02 / 可用信道設(shè)置#define SETUP_AW 0x03 / 收發(fā)地址寬度設(shè)置#define SETUP_RETR 0x04 / 自動(dòng)重發(fā)功能設(shè)置#define RF_CH 0x05 / 工作頻率設(shè)置#define RF_SETUP 0x06 / 發(fā)射速率、功耗功能設(shè)置#define STATUS 0x07 / 狀態(tài)寄存器#define OBSERVE_TX 0x08 / 發(fā)送監(jiān)測(cè)功能#define CD 0x09 / 地址檢測(cè) #define

4、RX_ADDR_P0 0x0A / 頻道0接收數(shù)據(jù)地址#define RX_ADDR_P1 0x0B / 頻道1接收數(shù)據(jù)地址#define RX_ADDR_P2 0x0C / 頻道2接收數(shù)據(jù)地址#define RX_ADDR_P3 0x0D / 頻道3接收數(shù)據(jù)地址#define RX_ADDR_P4 0x0E / 頻道4接收數(shù)據(jù)地址#define RX_ADDR_P5 0x0F / 頻道5接收數(shù)據(jù)地址#define TX_ADDR 0x10 / 發(fā)送地址寄存器#define RX_PW_P0 0x11 / 接收頻道0接收數(shù)據(jù)長(zhǎng)度#define RX_PW_P1 0x12 / 接收頻道0接收數(shù)據(jù)

5、長(zhǎng)度#define RX_PW_P2 0x13 / 接收頻道0接收數(shù)據(jù)長(zhǎng)度#define RX_PW_P3 0x14 / 接收頻道0接收數(shù)據(jù)長(zhǎng)度#define RX_PW_P4 0x15 / 接收頻道0接收數(shù)據(jù)長(zhǎng)度#define RX_PW_P5 0x16 / 接收頻道0接收數(shù)據(jù)長(zhǎng)度#define FIFO_STATUS 0x17 / FIFO棧入棧出狀態(tài)寄存器設(shè)置/*NRF24L01端口定義*/sbitIRQ=P10;sbit MOSI=P11; sbitCSN=P12;sbit MISO=P13;sbitSCK =P14;sbitCE =P15;/*定義1302管腳*/sbit IO =

6、P05; /6sbit SCLK = P06;/7sbit RST = P04; /5/*定義1602管腳*/sbit RS = P33;/4sbit RW = P34;/5sbit EN = P35;/6/*定義調(diào)時(shí)鍵盤管腳*/sbit key1=P00;/選擇sbit key2=P01;/加sbit key3=P02;/減 sbit key4=P03;/確定/*定義鬧鈴管腳*/sbit led = P07;/led代替鬧鈴/*狀態(tài)標(biāo)志*/uint bdata sta; sbitRX_DR=sta6;sbitTX_DS=sta5;sbitMAX_RT=sta4;uint const TX_A

7、DDRESSTX_ADR_WIDTH= 0x34,0x43,0x10,0x10,0x01;/本地地址uint const RX_ADDRESSRX_ADR_WIDTH= 0x34,0x43,0x10,0x10,0x01;/接收地址unsigned char x1=0;int hour=8,minute=0;/鬧鐘的初始時(shí)間8:00unsigned char DateTime9; /秒,分,時(shí),日,周,月,年/*發(fā)送緩沖區(qū)uchar TxBuf14=0;unsigned char lcd1=DATE 00-00-00 ;unsigned char lcd2=TIME 00:00:00 ; /*/

8、void inerDelay_us(unsigned char n);void init_NRF24L01(void);uint SPI_RW(uint uchar);uchar SPI_Read(uchar reg);void SetRX_Mode(void);uint SPI_RW_Reg(uchar reg, uchar value);uint SPI_Read_Buf(uchar reg, uchar *pBuf, uchar uchars);uint SPI_Write_Buf(uchar reg, uchar *pBuf, uchar uchars);unsigned char n

9、RF24L01_RxPacket(unsigned char* rx_buf);void nRF24L01_TxPacket(unsigned char * tx_buf);/*長(zhǎng)延時(shí)void delayms(unsigned int x)/延時(shí)毫秒程序 unsigned j; unsigned int i; for(i=x;i0;i-) for(j=110;j0;j-); void inerDelay_us(unsigned char n)for(;n0;n-)_nop_();/*/*/*/*/void init_NRF24L01(void) inerDelay_us(100); CE=0;

10、 / chip enable CSN=1; / Spi disable SCK=0; / Spi clock line init highSPI_Write_Buf(WRITE_REG + TX_ADDR, TX_ADDRESS, TX_ADR_WIDTH); / 寫本地地址SPI_Write_Buf(WRITE_REG + RX_ADDR_P0, RX_ADDRESS, RX_ADR_WIDTH); / 寫接收端地址SPI_RW_Reg(WRITE_REG + EN_AA, 0x01); / 頻道0自動(dòng)ACK應(yīng)答允許SPI_RW_Reg(WRITE_REG + EN_RXADDR, 0x01

11、); / 允許接收地址只有頻道0,如果需要多頻道可以參考Page21 SPI_RW_Reg(WRITE_REG + RF_CH, 0); / 設(shè)置信道工作為2.4GHZ,收發(fā)必須一致SPI_RW_Reg(WRITE_REG + RX_PW_P0, RX_PLOAD_WIDTH); /設(shè)置接收數(shù)據(jù)長(zhǎng)度,本次設(shè)置為32字節(jié)SPI_RW_Reg(WRITE_REG + RF_SETUP, 0x07); /設(shè)置發(fā)射速率為1MHZ,發(fā)射功率為最大值0dBSPI_RW_Reg(WRITE_REG + CONFIG, 0x0e); / IRQ收發(fā)完成中斷響應(yīng),16位CRC,主發(fā)送/*/*函數(shù):uint SP

12、I_RW(uint uchar)/*功能:NRF24L01的SPI寫時(shí)序/*/uint SPI_RW(uint uchar)uint bit_ctr; for(bit_ctr=0;bit_ctr8;bit_ctr+) / output 8-bit MOSI = (uchar & 0x80); / output uchar, MSB to MOSIuchar = (uchar 1); / shift next bit into MSB.SCK = 1; / Set SCK high.uchar |= MISO; / capture current MISO bitSCK = 0; / .then

13、 set SCK low again return(uchar); / return read uchar/*NRF24L01的SPI時(shí)序*uchar SPI_Read(uchar reg)uchar reg_val;CSN = 0; / CSN low, initialize SPI communication.SPI_RW(reg); / Select register to read from.reg_val = SPI_RW(0); / .then read registervalueCSN = 1; / CSN high, terminate SPI communicationret

14、urn(reg_val); / return register value*/*/*功能:NRF24L01讀寫寄存器函數(shù)/*/uint SPI_RW_Reg(uchar reg, uchar value)uint status;CSN = 0; / CSN low, init SPI transactionstatus = SPI_RW(reg); / select registerSPI_RW(value); / .and write value to it.CSN = 1; / CSN high againreturn(status); / return nRF24L01 status u

15、char/* 用于讀數(shù)據(jù),reg:為寄存器地址,pBuf:為待讀出數(shù)據(jù)地址,uchars:讀出數(shù)據(jù)的個(gè)數(shù)*uint SPI_Read_Buf(uchar reg, uchar *pBuf, uchar uchars)uint status,uchar_ctr;CSN = 0; / Set CSN low, init SPI tranactionstatus = SPI_RW(reg); / Select register to write to and read status ucharfor(uchar_ctr=0;uchar_ctruchars;uchar_ctr+)pBufuchar_c

16、tr = SPI_RW(0); / CSN = 1; return(status); / return nRF24L01 status uchar */*/*函數(shù):uint SPI_Write_Buf(uchar reg, uchar *pBuf, uchar uchars)/*功能: 用于寫數(shù)據(jù):為寄存器地址,pBuf:為待寫入數(shù)據(jù)地址,uchars:寫入數(shù)據(jù)的個(gè)數(shù)/*/uint SPI_Write_Buf(uchar reg, uchar *pBuf, uchar uchars)uint status,uchar_ctr;CSN = 0; /SPI使能 status = SPI_RW(re

17、g); for(uchar_ctr=0; uchar_ctruchars; uchar_ctr+) /SPI_RW(*pBuf+);CSN = 1; /關(guān)閉SPIreturn(status); / /*數(shù)據(jù)接收配置 *void SetRX_Mode(void)CE=0;SPI_RW_Reg(WRITE_REG + CONFIG, 0x0f); / IRQ收發(fā)完成中斷響應(yīng),16位CRC,主接收CE = 1; inerDelay_us(130); /延時(shí)不能太短 */*unsigned char nRF24L01_RxPacket(unsigned char* rx_buf) unsigned c

18、har revale=0;sta=SPI_Read(STATUS);/ 讀取狀態(tài)寄存其來(lái)判斷數(shù)據(jù)接收狀況if(RX_DR)/ 判斷是否接收到數(shù)據(jù) CE = 0; /SPI使能SPI_Read_Buf(RD_RX_PLOAD,rx_buf,TX_PLOAD_WIDTH);/ read receive payload from RX_FIFO bufferrevale =1;/讀取數(shù)據(jù)完成標(biāo)志SPI_RW_Reg(WRITE_REG+STATUS,sta); /接收到數(shù)據(jù)后RX_DR,TX_DS,MAX_PT都置高為1,通過(guò)寫1來(lái)清楚中斷標(biāo)志return revale; */*/void nRF2

19、4L01_TxPacket(unsigned char * tx_buf)CE=0;/StandBy I模式SPI_Write_Buf(WRITE_REG + RX_ADDR_P0, TX_ADDRESS, TX_ADR_WIDTH); / 裝載接收端地址SPI_Write_Buf(WR_TX_PLOAD, tx_buf, TX_PLOAD_WIDTH); / 裝載數(shù)據(jù)SPI_RW_Reg(WRITE_REG + CONFIG, 0x0e); / IRQ收發(fā)完成中斷響應(yīng),16位CRC,主發(fā)送CE=1; /置高CE,激發(fā)數(shù)據(jù)發(fā)送inerDelay_us(10);/*/*/*/*1302的驅(qū)動(dòng)程序

20、*/寫入數(shù)據(jù),dat:要寫入的數(shù)據(jù)void DS1302WriteByte(unsigned char dat) unsigned char i; SCLK=0;/初始時(shí)鐘線置為0 inerDelay_us(2); for(i=0;i=1;/數(shù)據(jù)右移一位,準(zhǔn)備傳輸下一位數(shù)據(jù) /*1302的驅(qū)動(dòng)程序*/功能: 讀取數(shù)據(jù),dat:讀取的數(shù)據(jù)unsigned char DS1302ReadByte() unsigned char i; unsigned char dat; inerDelay_us(2); for(i=0;i=1;/要返回的數(shù)據(jù)左移一位 if(IO=1)/當(dāng)數(shù)據(jù)線為高時(shí),證明該位數(shù)據(jù)

21、為 1 dat|=0x80;/要傳輸數(shù)據(jù)的當(dāng)前值置為 1,若不是,則為 0 SCLK=1;/拉高時(shí)鐘線 inerDelay_us(2); SCLK=0;/制造下降沿 inerDelay_us(2); return dat;/返回讀取出的數(shù)據(jù)/16*10+dat%16 /*1302的驅(qū)動(dòng)程序*/從cmd相應(yīng)地址中讀取一個(gè)字節(jié)的數(shù)據(jù)unsigned char DS1302Read(unsigned char cmd)/cmd:要寫入的控制字節(jié),dat:讀取的數(shù)據(jù) unsigned char dat; RST=0;/初始 CE線置為0 SCLK=0;/初始時(shí)鐘線置為0 RST=1;/初始 CE置為

22、1,傳輸開始 DS1302WriteByte(cmd);/傳輸命令字,要讀取的時(shí)間/日歷地址 dat=DS1302ReadByte();/讀取要得到的時(shí)間/日期 SCLK=1;/時(shí)鐘線拉高 RST=0;/讀取結(jié)束,CE置為 0,結(jié)束數(shù)據(jù)的傳輸 return dat;/返回得到的時(shí)間/日期 /*1302的驅(qū)動(dòng)程序*/向cmd相應(yīng)地址中寫一個(gè)字節(jié)的數(shù)據(jù)void DS1302Write(unsigned char cmd, unsigned int dat)/cmd:要寫入的控制字,dat:要寫入的數(shù)據(jù) RST=0; /初始 CE線置為 0 SCLK=0; /初始時(shí)鐘線置為0 RST=1; /初始

23、CE置為 1,傳輸開始 DS1302WriteByte(cmd); /傳輸命令字,要寫入的時(shí)間/日歷地址 DS1302WriteByte(dat); /寫入要修改的時(shí)間/日期 SCLK=1; /時(shí)鐘線拉高 RST=0; /讀取結(jié)束,CE置為0,結(jié)束數(shù)據(jù)的傳輸 /*初始化ds1302*/給1302寫入日期和時(shí)鐘的值void chushihuaDS1302(void) DS1302Write(0x8e,0x00);/寫保護(hù)關(guān) DS1302Write(0x80,0x10); /初始秒 DS1302Write(0x82,0x44);/初始分鐘 DS1302Write(0x84,0x21); /初始為2

24、4小時(shí)模式,初始時(shí) DS1302Write(0x86,0x22); /1日 DS1302Write(0x88,0x08);/8月 DS1302Write(0x8c,0x13);/2013年 DS1302Write(0x8a,0x04);/星期4 / DS1302Write(0x90,0x01); /充電 /DS1302Write(0xc0,0xf0); /初始化一次標(biāo)示 /DS1302Write(0x8e,0x80);/寫保護(hù)開 /*以下是1602的程序*/*/向1602內(nèi)寫命令void write_order(unsigned char order) RS=0; P2=order; dela

25、yms(5); EN=1; delayms(5); EN=0; /*/向1602內(nèi)寫數(shù)據(jù)void write_date(unsigned char date) RS=1; P2=date; delayms(5); EN=1; delayms(5); EN=0; /*/lcd1602的初始化void chushihuaLCD1602() EN=0; write_order(0x38); write_order(0x0c); write_order(0x06); write_order(0x01); /*其他操作*/void gettime() unsigned char i,n; for(i=0

26、,n=1;i7,n4)+0x30);lcd16=(DateTime6&0x0f)+0x30);inerDelay_us(2);/年lcd114=(DateTime54)+0x30);lcd115=(DateTime5&0x0f)+0x30);inerDelay_us(2);/周lcd111=(DateTime34)+0x30);lcd112=(DateTime3&0x0f)+0x30);inerDelay_us(2);/日l(shuí)cd18=(DateTime44)+0x30);lcd19=(DateTime4&0x0f)+0x30);inerDelay_us(2);/月lcd25=(DateTime

27、24)+0x30);lcd26=(DateTime2&0x0f)+0x30);inerDelay_us(2);/時(shí)lcd28=(DateTime14)+0x30);lcd29=(DateTime1&0x0f)+0x30);inerDelay_us(2);/分 lcd211=(DateTime04)+0x30);lcd212=(DateTime0&0x0f)+0x30);inerDelay_us(2);/秒TxBuf12=lcd211;TxBuf13=lcd212;/秒的個(gè)位和十位TxBuf0=lcd29;TxBuf1=lcd28;/分的個(gè)位和十位TxBuf2=lcd26;TxBuf3=lcd2

28、5;/時(shí)的個(gè)位和十位TxBuf4=lcd112;TxBuf5=lcd111;/天的個(gè)位和十位TxBuf6=lcd115;TxBuf7=lcd114;/周的個(gè)位和十位TxBuf8=lcd19;TxBuf9=lcd18;/月的個(gè)位和十位TxBuf10=lcd16;TxBuf11=lcd15;/年的個(gè)位和十位/*/if(DateTime7=DateTime2 & DateTime8=DateTime1)/鬧鐘 led = 1; delayms(150); led = 0; /*鍵盤調(diào)時(shí)+鬧鐘調(diào)時(shí)*/void jianpan() unsigned char x2,address,max,min; in

29、t item; if(key1=0) delayms(10); if(key1=0) x1+; if(x1=9) x1=1;switch(x1) case 1: lcd213= ;lcd214= ;lcd215=Y;x2=1;address=0x8c; max=99;min=0;break;/年 case 2: lcd213= ;lcd214= ;lcd215=M;x2=2;address=0x88; max=12;min=1;break;/月 case 3: lcd213= ;lcd214= ;lcd215=D;x2=3;address=0x86; max=31;min=1;break;/天

30、 case 4: lcd213= ;lcd214= ;lcd215=H;x2=4;address=0x84; max=23;min=0;break;/時(shí) case 5: lcd213= ;lcd214= ;lcd215=F;x2=5;address=0x82; max=59;min=0;break;/分 case 6: lcd213= ;lcd214= ;lcd215=W;x2=6;address=0x8A; max=7;min=1;break;/周 /*/ case 7: lcd213=M; x2=7;max=59;min=0; lcd214=(minute4)+0x30); lcd215=

31、(minute&0x0f)+0x30); inerDelay_us(2);break;/分 case 8: lcd213=H; x2=8;max=23;min=0; lcd214=(hour4)+0x30); lcd215=(hour&0x0f)+0x30); inerDelay_us(2);break;/時(shí) while(!key1); item=(DS1302Read(address+1)/16)*10 + (DS1302Read(address+1)%16;if(key2=0) delayms(10); if(key2=0) if(x2=1) item+; else if(x2=2) it

32、em+; else if(x2=3) item+; else if(x2=4) item+; else if(x2=5) item+; else if(x2=6) item+; /*/ else if(x2=7)/分 minute=(minute/16)*10)+(minute%16); minute+; if(minutemax) minute=min; minute=(minute/10)*16+minute%10; lcd214=(minute4)+0x30); lcd215=(minute&0x0f)+0x30); inerDelay_us(2); else if(x2=8)/時(shí) ho

33、ur=(hour/16)*10)+(hour%16); hour+; if(hourmax) hour=min; hour=(hour/10)*16+hour%10; lcd214=(hour4)+0x30); lcd215=(hour&0x0f)+0x30); inerDelay_us(2); while(!key2);if(key3=0) delayms(10); if(key3=0) if(x2=1) item-; else if(x2=2) item-; else if(x2=3) item-; else if(x2=4) item-; else if(x2=5) item-; els

34、e if(x2=6) item-; /*/ else if(x2=7)/分 minute=(minute/16)*10)+(minute%16); minute-; if(minute4)+0x30); lcd215=(minute&0x0f)+0x30); inerDelay_us(2); else if(x2=8)/時(shí) hour=(hour/16)*10)+(hour%16); hour-; if(hour4)+0x30); lcd215=(hour&0x0f)+0x30); inerDelay_us(2); while(!key3); if(key4=0) delayms(10); if

35、(key4=0) lcd213= ;lcd214= ;lcd215= ; x1=0; x2=0; while(!key4); DateTime7=hour;DateTime8=minute; if(itemmax) item=min; if(itemmin) item=max; DS1302Write(0x8e,0x00);/允許寫操作 delayms(10); DS1302Write(address,(item/10)*16+item%10); /寫入DS1302 /轉(zhuǎn)成BCD碼 delayms(20); DS1302Write(0x8e,0x80);/寫保護(hù),禁止寫操作 void wzd0

36、() interrupt 0/外部中斷0 jianpan();/ /*主函數(shù) /發(fā)射void main(void)unsigned char k;EA=1;EX0=1;IT0=1; RW=0;led=0; init_NRF24L01() ;/nRF24L01_TxPacket(TxBuf);/ Transmit Tx buffer datachushihuaLCD1602();/1602初始化 if( (DS1302Read(0x81) & 0x80) ) chushihuaDS1302();/1302初始化delayms(85);while(1) zhuanhuan(); write_ord

37、er(0x80);/lcd的第一行 for(k=0;k16;k+) write_date(lcd1k); inerDelay_us(2); write_order(0x80+0x40);/lcd的第二行 for(k=0;k16;k+) write_date(lcd2k); inerDelay_us(2); /*/nRF24L01_TxPacket(TxBuf);/ Transmit Tx buffer datadelayms(30); /可變SPI_RW_Reg(WRITE_REG+STATUS,0XFF); delayms(12); /接收#include /接收#include typed

38、ef unsigned char uchar;typedef unsigned char uint;/*/#define TX_ADR_WIDTH 5 / 5 uints TX address width#define RX_ADR_WIDTH 5 / 5 uints RX address width#define TX_PLOAD_WIDTH 14 / 20 uints TX payload#define RX_PLOAD_WIDTH 14 / 20 uints TX payload/*NRF24L01寄存器指令#define READ_REG 0x00 / 讀寄存器指令#define WR

39、ITE_REG 0x20 / 寫寄存器指令#define RD_RX_PLOAD 0x61 / 讀取接收數(shù)據(jù)指令#define WR_TX_PLOAD 0xA0 / 寫待發(fā)數(shù)據(jù)指令#define FLUSH_TX 0xE1 / 沖洗發(fā)送 FIFO指令#define FLUSH_RX 0xE2 / 沖洗接收 FIFO指令#define REUSE_TX_PL 0xE3 / 定義重復(fù)裝載數(shù)據(jù)指令#define NOP 0xFF / 保留/*SPI(nRF24L01)寄存器地址#define CONFIG 0x00 / 配置收發(fā)狀態(tài),CRC校驗(yàn)?zāi)J揭约笆瞻l(fā)狀態(tài)響應(yīng)方式#define EN_AA 0x01 / 自動(dòng)應(yīng)答功能設(shè)置#define EN_RXADDR 0x02 / 可用信道設(shè)置#define SETUP_AW 0x03 / 收發(fā)地址寬度設(shè)置#define SETUP_RETR 0x04 / 自動(dòng)重發(fā)功能設(shè)置#define RF_CH 0x05 / 工作頻率設(shè)置#define RF_SETUP 0x06 / 發(fā)射速率、功耗功能設(shè)置#define STATUS 0x07 / 狀態(tài)寄存器#define OBSERVE_TX 0x08 / 發(fā)送監(jiān)測(cè)功能#define CD 0x0

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論