基于視頻的實(shí)時(shí)運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng)研究論文_第1頁
基于視頻的實(shí)時(shí)運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng)研究論文_第2頁
基于視頻的實(shí)時(shí)運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng)研究論文_第3頁
基于視頻的實(shí)時(shí)運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng)研究論文_第4頁
基于視頻的實(shí)時(shí)運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng)研究論文_第5頁
已閱讀5頁,還剩89頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、 PAGE83 / NUMPAGES94 基于視頻的實(shí)時(shí)運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng)研究碩士學(xué)位論文基于視頻的實(shí)時(shí)運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng)研究Classified Index: UDC: 密級: XihuaUniversityMaster Degree DissertationResearch of Real-time Moving ObjectDetection and Tracking System Basedon VideoCandidate : Li YuejingMajor : Signal and Information ProcessingStudent ID: 2007Supervis

2、or: Prof. Xie WeichengMarch, 2012西華大學(xué)學(xué)位論文獨(dú)創(chuàng)性聲明作者重聲明:所呈交的學(xué)位論文,是本人在導(dǎo)師的指導(dǎo)下進(jìn)行研究工作所取得的成果。盡我所知,除文中已經(jīng)注明引用容和致的地方外,本論文不包含其他個(gè)人或集體已經(jīng)發(fā)表的研究成果,也不包含其他已申請學(xué)位或其他用途使用過的成果。與我一同工作的同志對本研究所做的貢獻(xiàn)均已在論文中做了明確的說明并表示了意。若有不實(shí)之處,本人愿意承擔(dān)相關(guān)法律責(zé)任。學(xué)位論文作者簽名: 指導(dǎo)教師簽名:日期: 日期西華大學(xué)學(xué)位論文使用授權(quán)書本學(xué)位論文作者完全了解學(xué)校有關(guān)保留、使用學(xué)位論文的規(guī)定,在校攻讀學(xué)位期間論文工作的知識產(chǎn)權(quán)屬于西華大學(xué),同意學(xué)

3、校保留并向國家有關(guān)部門或機(jī)構(gòu)送交論文的復(fù)印件和電子版,允許論文被查閱和借閱,西華大學(xué)可以將本論文的全部或部分容編入有關(guān)數(shù)據(jù)庫進(jìn)行檢索,可以采用影印、縮印或掃描等復(fù)印手段保存和匯編本學(xué)位論文。(的論文在解密后遵守此規(guī)定)學(xué)位論文作者簽名: 指導(dǎo)教師簽名:日期: 日期摘 要以計(jì)算機(jī)視覺技術(shù)為基礎(chǔ)的智能視頻監(jiān)控系統(tǒng),目前已經(jīng)廣泛應(yīng)用于人們生產(chǎn)生活的各個(gè)方面。運(yùn)動(dòng)目標(biāo)檢測與跟蹤是智能視頻監(jiān)控系統(tǒng)中的關(guān)鍵技術(shù)和主要研究方向,目的是實(shí)時(shí)檢測視頻序列幀中出現(xiàn)的運(yùn)動(dòng)目標(biāo),獲得目標(biāo)參數(shù),對運(yùn)動(dòng)目標(biāo)進(jìn)行匹配和跟蹤,進(jìn)而獲得目標(biāo)的運(yùn)動(dòng)軌跡。本文主要研究了視頻運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng)的構(gòu)成,系統(tǒng)中使用的視頻、圖像處理技

4、術(shù),運(yùn)動(dòng)目標(biāo)檢測與運(yùn)動(dòng)目標(biāo)跟蹤算法,并分別在計(jì)算機(jī)系統(tǒng)與嵌入式系統(tǒng)中實(shí)現(xiàn)了運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng)。首先,分析研究了常用的運(yùn)動(dòng)目標(biāo)檢測算法與各自的優(yōu)缺點(diǎn),提出了一種基于累積差分更新的背景減除法,該算法結(jié)合累積差分的概念,對自適應(yīng)背景建模法作出改進(jìn),較好地消除了場景變化以與噪聲影響等的干擾,關(guān)于目標(biāo)提取的閥值判斷,提出了一種兩主峰間差值的灰度直方圖閥值分割法。接著,分析比較了連續(xù)自適應(yīng)均值漂移CAMShift算法與Kalman濾波算法,提出了一種基于幾何特征的Kalman濾波與目標(biāo)直方圖匹配相結(jié)合的運(yùn)動(dòng)目標(biāo)跟蹤算法,以目標(biāo)灰度質(zhì)心與外接矩形框長寬作為目標(biāo)幾何特征參數(shù),分別使用兩組卡爾曼濾波預(yù)測后,

5、根據(jù)目標(biāo)灰度模板相似度進(jìn)行目標(biāo)匹配。然后,在VC+6.0集成開發(fā)環(huán)境下,采用MFC應(yīng)用程序框架與OpenCV計(jì)算機(jī)視覺庫代碼,實(shí)現(xiàn)了基于USB攝像頭的運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng),對于USB攝像頭或AVI視頻文件輸入的視頻,系統(tǒng)能實(shí)時(shí)檢測出場景中的運(yùn)動(dòng)物體并進(jìn)行跟蹤。最后,使用Altera ED2多媒體開發(fā)板,以Cyclone系列EP2C35F672C6 FPGA作為核心芯片,設(shè)計(jì)出基于SOPC的實(shí)時(shí)運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng),使用了Quartus、SOPC Builder與NiosIDE等開發(fā)工具,完成硬件設(shè)計(jì)與軟件開發(fā),經(jīng)仿真與調(diào)試該系統(tǒng)實(shí)現(xiàn)部分運(yùn)行。關(guān)鍵詞:智能視頻監(jiān)控;目標(biāo)檢測;目標(biāo)跟蹤;Ope

6、nCV;SOPCAbstractIntelligent Video Surveillance System which based on Computer Vision technology has already been widely applied to various aspects of humans production and life at present. Moving object detection and tacking is the key technology and primary research direction of Intelligent Video S

7、urveillance System. In order to real-time detecting moving object emerged from video sequence frames, obtaining object parameters, matching and tracking moving object, then the movement locus of object is acquired. In this paper major researches include the structure of moving object detection and t

8、racking system based on video, the technology of video and image processing used in system, the algorithm of moving object detection and tracking, and the implementation of system based on computer and embedded technology. Firstly, conventional algorithms of moving object detection and tracking incl

9、uding each advantage and defect are analyzed and researched, and a kind background subtraction algorithm based on accumulated difference update is proposed. The algorithm incorporated accumulated difference notion improvements self-adaptive background modeling algorithm to eliminate noise interferen

10、ce. A kind partition method of gray histogram threshold is proposed.Then, CAMShift algorithm and Kalman filter algorithm are analyzed and compared, and a kind moving object tracking algorithm which combines Kalman filter based on geometric characteristic with object histogram matching is proposed. T

11、he center of mass of objects grayscale and the length and width of circumscribed rectangle frame are used as the geometric characteristic parameter of object. After using respectively two groups of Kalman filter to calculate, matching object according to similarity of template of objects grayscale.A

12、nd then, the moving object detection and tracking system based on USB camera is implemented by VC+ 6.0 Integrated Development Environment. The MFC application program wizard and Intel OpenCV code are utilized to develop system. According to inputted video from either USB camera or AVI files, the sys

13、tem can detectand trackobject in scene. Finally, the real-time moving object detection and tracking system based on SOPC is designed. The Altera DE2 board which contains Cycloneseries EP2C35F672C6 FPGA chip is used as development platform. The Developer Kits include Quartus, SOPC Builder and NiosIDE

14、 etc. The hardware design and software programming are accomplished. The embedded system is able to partly operate after simulating and debugging.Key Words:Intelligent Video Surveillance;Object Detection;Object Tracking; Open CV; SOPC目 錄TOC o 1-3 h z uHYPERLINK l _Toc319618747摘要 PAGEREF _Toc31961874

15、7 h IHYPERLINK l _Toc319618748Abstract PAGEREF _Toc319618748 h IIHYPERLINK l _Toc3196187491 緒論 PAGEREF _Toc319618749 h 1HYPERLINK l _Toc3196187501.1 研究背景與意義 PAGEREF _Toc319618750 h 1HYPERLINK l _Toc3196187511.2 國外研究現(xiàn)狀與發(fā)展趨勢 PAGEREF _Toc319618751 h 2HYPERLINK l _Toc3196187521.2.1 國外研究現(xiàn)狀 PAGEREF _Toc31

16、9618752 h 2HYPERLINK l _Toc3196187531.2.2 發(fā)展趨勢 PAGEREF _Toc319618753 h 4HYPERLINK l _Toc3196187541.3 主要研究容與本文結(jié)構(gòu) PAGEREF _Toc319618754 h 6HYPERLINK l _Toc3196187552 系統(tǒng)結(jié)構(gòu)與關(guān)鍵技術(shù) PAGEREF _Toc319618755 h 8HYPERLINK l _Toc3196187562.1 系統(tǒng)結(jié)構(gòu) PAGEREF _Toc319618756 h 8HYPERLINK l _Toc3196187572.2 圖像處理技術(shù) PAGERE

17、F _Toc319618757 h 9HYPERLINK l _Toc3196187582.2.1 圖像獲取 PAGEREF _Toc319618758 h 9HYPERLINK l _Toc3196187592.2.2 視頻信號制式與圖像色彩模型 PAGEREF _Toc319618759 h 10HYPERLINK l _Toc3196187602.2.3 圖像濾波 PAGEREF _Toc319618760 h 13HYPERLINK l _Toc3196187612.2.4 形態(tài)學(xué)處理 PAGEREF _Toc319618761 h 16HYPERLINK l _Toc31961876

18、22.2.5 連通分量分析 PAGEREF _Toc319618762 h 18HYPERLINK l _Toc3196187633 運(yùn)動(dòng)目標(biāo)檢測算法研究 PAGEREF _Toc319618763 h 20HYPERLINK l _Toc3196187643.1 常用運(yùn)動(dòng)目標(biāo)檢測算法分析 PAGEREF _Toc319618764 h 20HYPERLINK l _Toc3196187653.1.1 幀間差分法 PAGEREF _Toc319618765 h 20HYPERLINK l _Toc3196187663.1.2 背景減除法 PAGEREF _Toc319618766 h 22HY

19、PERLINK l _Toc3196187673.1.3 光流法 PAGEREF _Toc319618767 h 23HYPERLINK l _Toc3196187683.2 基于累積差分更新的背景減除法 PAGEREF _Toc319618768 h 23HYPERLINK l _Toc3196187693.2.1 背景模型建立與更新 PAGEREF _Toc319618769 h 24HYPERLINK l _Toc3196187703.2.2 運(yùn)動(dòng)目標(biāo)提取 PAGEREF _Toc319618770 h 27HYPERLINK l _Toc3196187713.3 檢測算法流程與實(shí)驗(yàn)結(jié)果

20、 PAGEREF _Toc319618771 h 28HYPERLINK l _Toc3196187723.3.1 檢測算法流程 PAGEREF _Toc319618772 h 28HYPERLINK l _Toc3196187733.3.2 檢測算法實(shí)驗(yàn)結(jié)果 PAGEREF _Toc319618773 h 30HYPERLINK l _Toc3196187744 運(yùn)動(dòng)目標(biāo)跟蹤算法研究 PAGEREF _Toc319618774 h 33HYPERLINK l _Toc3196187754.1 常用運(yùn)動(dòng)目標(biāo)跟蹤算法分析 PAGEREF _Toc319618775 h 33HYPERLINK l

21、 _Toc3196187764.1.1 卡爾曼濾波算法 PAGEREF _Toc319618776 h 33HYPERLINK l _Toc3196187774.1.2 CAMShift算法 PAGEREF _Toc319618777 h 35HYPERLINK l _Toc3196187784.2 基于幾何特征的卡爾曼濾波和直方圖匹配算法 PAGEREF _Toc319618778 h 37HYPERLINK l _Toc3196187794.2.1 幾何特征計(jì)算 PAGEREF _Toc319618779 h 37HYPERLINK l _Toc3196187804.2.2 卡爾曼濾波 P

22、AGEREF _Toc319618780 h 39HYPERLINK l _Toc3196187814.2.3 灰度直方圖匹配 PAGEREF _Toc319618781 h 40HYPERLINK l _Toc3196187824.2.4 多目標(biāo)跟蹤問題 PAGEREF _Toc319618782 h 41HYPERLINK l _Toc3196187834.3 跟蹤算法流程與實(shí)驗(yàn)結(jié)果 PAGEREF _Toc319618783 h 41HYPERLINK l _Toc3196187844.3.1 跟蹤算法流程 PAGEREF _Toc319618784 h 41HYPERLINK l _T

23、oc3196187854.3.2 跟蹤算法實(shí)驗(yàn)結(jié)果 PAGEREF _Toc319618785 h 43HYPERLINK l _Toc3196187865 基于VC+的運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng) PAGEREF _Toc319618786 h 46HYPERLINK l _Toc3196187875.1 MFC與OpenCV PAGEREF _Toc319618787 h 46HYPERLINK l _Toc3196187885.1.1 MFC PAGEREF _Toc319618788 h 46HYPERLINK l _Toc3196187895.1.2 OpenCV PAGEREF _Toc

24、319618789 h 47HYPERLINK l _Toc3196187905.2 系統(tǒng)硬件結(jié)構(gòu) PAGEREF _Toc319618790 h 48HYPERLINK l _Toc3196187915.3 系統(tǒng)軟件編程 PAGEREF _Toc319618791 h 49HYPERLINK l _Toc3196187925.3.1 系統(tǒng)軟件模塊 PAGEREF _Toc319618792 h 49HYPERLINK l _Toc3196187935.3.2 算法編程 PAGEREF _Toc319618793 h 50HYPERLINK l _Toc3196187945.4 系統(tǒng)運(yùn)行實(shí)現(xiàn)

25、PAGEREF _Toc319618794 h 54HYPERLINK l _Toc3196187956 基于SOPC的運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng) PAGEREF _Toc319618795 h 59HYPERLINK l _Toc3196187966.1 開發(fā)平臺、工具與流程 PAGEREF _Toc319618796 h 59HYPERLINK l _Toc3196187976.1.1 SOPC與VreilogHDL PAGEREF _Toc319618797 h 59HYPERLINK l _Toc3196187986.1.2 開發(fā)平臺 PAGEREF _Toc319618798 h 60H

26、YPERLINK l _Toc3196187996.1.3 開發(fā)工具與流程 PAGEREF _Toc319618799 h 62HYPERLINK l _Toc3196188006.2 系統(tǒng)硬件設(shè)計(jì) PAGEREF _Toc319618800 h 64HYPERLINK l _Toc3196188016.2.1 系統(tǒng)總體設(shè)計(jì) PAGEREF _Toc319618801 h 64HYPERLINK l _Toc3196188026.2.2 各模塊設(shè)計(jì) PAGEREF _Toc319618802 h 65HYPERLINK l _Toc3196188036.3 系統(tǒng)軟件開發(fā) PAGEREF _To

27、c319618803 h 73HYPERLINK l _Toc3196188046.4 實(shí)驗(yàn)結(jié)果與分析 PAGEREF _Toc319618804 h 75HYPERLINK l _Toc319618805結(jié)論 PAGEREF _Toc319618805 h 77HYPERLINK l _Toc319618806參考文獻(xiàn) PAGEREF _Toc319618806 h 79HYPERLINK l _Toc319618807攻讀碩士學(xué)位期間發(fā)表的論文與科研成果 PAGEREF _Toc319618807 h 85HYPERLINK l _Toc319618808致 PAGEREF _Toc319

28、618808 h 861 緒論1.1 研究背景與意義隨著21世紀(jì)的第1個(gè)10年的過去,人類社會(huì)早已經(jīng)進(jìn)入了信息時(shí)代。從愚昧的原始社會(huì),到穩(wěn)步發(fā)展的古典時(shí)代,再到欣欣向榮的啟蒙時(shí)代,然后是繁忙的工業(yè)時(shí)代,人類文明在不斷發(fā)展,科學(xué)技術(shù)在不斷進(jìn)步,21世紀(jì)涌現(xiàn)出了一大批新興科學(xué)與技術(shù),使人類社會(huì)和人們的生活發(fā)生了翻天覆地的變化。智能技術(shù)、多媒體技術(shù)已成為信息時(shí)代的主導(dǎo)技術(shù),計(jì)算機(jī)視覺、視頻圖像處理等領(lǐng)域已成為研究領(lǐng)域中的前沿和熱點(diǎn)。計(jì)算機(jī)視覺(Computer Vision)是一門綜合性的交叉學(xué)科,由計(jì)算機(jī)通過對采集的圖像或視頻序列進(jìn)行處理和分析,提取需要的信息,從而代替人來實(shí)現(xiàn)某種功能,該學(xué)科融合

29、了計(jì)算機(jī)科學(xué)、應(yīng)用數(shù)學(xué)、幾何圖形學(xué)、圖像處理、視頻處理、模式識別等學(xué)科的知識。計(jì)算機(jī)視覺研究的最終目標(biāo)是讓計(jì)算機(jī)通過輸入設(shè)備來獲取外界信息,代替人為操作自主地進(jìn)行思考并作出反應(yīng)。當(dāng)然,在離這個(gè)最終目標(biāo)的實(shí)現(xiàn)還有一定距離的今天,人們努力的目標(biāo)是建立一種在由人為規(guī)定的一些約束條件下,實(shí)現(xiàn)某些簡單功能的準(zhǔn)智能機(jī)器視覺系統(tǒng),能夠部分代替人們的工作。然而,自從1946年約翰.諾依曼(John Von Neumann)博士發(fā)明了程序儲(chǔ)存體系結(jié)構(gòu)的電子計(jì)算機(jī)以來,隨著微電子技術(shù)的不斷進(jìn)步和因特網(wǎng)(Internet)這一全球互聯(lián)網(wǎng)的形成,當(dāng)代計(jì)算機(jī)家族徹底地改變了人們的生活。因此,計(jì)算機(jī)視覺技術(shù)的未來,也會(huì)是

30、出乎人們預(yù)料的。關(guān)于計(jì)算機(jī)視覺技術(shù)的研究1-3,起溯于20世紀(jì)70年代后期,因?yàn)殡S著計(jì)算機(jī)硬件技術(shù)的發(fā)展,使計(jì)算機(jī)能夠處理數(shù)據(jù)量很大的諸如圖像這一類的信息。而今天,計(jì)算機(jī)視覺技術(shù)得到了越來越多的關(guān)注和長足的發(fā)展,智能視頻監(jiān)控(Intelligent Video Surveillance)系統(tǒng)就是計(jì)算機(jī)視覺技術(shù)主要的研究方向和重要的應(yīng)用領(lǐng)域之一。近年來,智能視頻監(jiān)控系統(tǒng)已經(jīng)廣泛應(yīng)用于人們生產(chǎn)生活的各個(gè)方面。在公共安全方面,銀行、大型商場、地鐵站點(diǎn)、城市街道等人流密集場所,核電站、高速鐵路、民航機(jī)場等重要設(shè)施以與2008年奧運(yùn)會(huì)、2010年世博會(huì)等盛大活動(dòng),都安裝配置了大量最先進(jìn)的智能視頻監(jiān)控系統(tǒng)

31、,來保證人們的生命財(cái)產(chǎn)安全、保護(hù)國家公共財(cái)產(chǎn)不受損失、維護(hù)會(huì)場公共秩序、提高突發(fā)事件反應(yīng)能力與防止恐怖主義事件。在交通管理方面,各個(gè)十字路口的電子眼系統(tǒng),能夠監(jiān)視車輛的闖紅燈、違章行駛等行為,通過連續(xù)抓拍違章車輛3幅圖像,給出其違章證據(jù)與車牌。在人們的生活中,家庭遠(yuǎn)程監(jiān)控系統(tǒng)使人們在千里之外也能看到家里的情況,駕車疲勞檢測系統(tǒng)降低了事故的發(fā)生率使人們的生命安全得到了保障,而視覺輔助駕駛系統(tǒng)可以實(shí)現(xiàn)簡單的車輛導(dǎo)航功能。智能視頻監(jiān)控系統(tǒng)有效地解決了傳統(tǒng)視頻監(jiān)控系統(tǒng)中存在的由人為因素帶來的與時(shí)性差、效率低的問題。智能視頻監(jiān)控系統(tǒng)是一種能自動(dòng)地、智能地對攝像機(jī)或傳感器采集的視頻序列或圖像進(jìn)行分析和處理

32、,實(shí)現(xiàn)監(jiān)控場景中的目標(biāo)檢測和追蹤的監(jiān)控系統(tǒng)4-6。運(yùn)動(dòng)目標(biāo)檢測與跟蹤是智能視頻監(jiān)控系統(tǒng)中的關(guān)鍵技術(shù)和主要研究方向,目的是實(shí)時(shí)檢測視頻序列幀中出現(xiàn)的運(yùn)動(dòng)物體,提取運(yùn)動(dòng)目標(biāo),獲得目標(biāo)參數(shù),確定目標(biāo)位置,對運(yùn)動(dòng)目標(biāo)進(jìn)行匹配和跟蹤,進(jìn)而獲得目標(biāo)的運(yùn)動(dòng)軌跡,為下一步對目標(biāo)的識別與行為的理解等提供必要的信息。一個(gè)運(yùn)營級智能視頻監(jiān)控系統(tǒng)組成結(jié)構(gòu)如圖1.1。圖1.1 運(yùn)營級智能監(jiān)控系統(tǒng)Fig 1.1 a running system of intelligent video surveillance1.2 國外研究現(xiàn)狀與發(fā)展趨勢1.2.1 國外研究現(xiàn)狀由于傳統(tǒng)視頻監(jiān)控系統(tǒng)自身所固有的體積大、效率低、實(shí)時(shí)性差等

33、缺陷,而且隨著社會(huì)的發(fā)展,人們也迫切需要現(xiàn)代化技術(shù)來實(shí)現(xiàn)對場所或物體的監(jiān)控。智能視頻監(jiān)控系統(tǒng)與傳統(tǒng)監(jiān)控系統(tǒng)相比優(yōu)勢突出,有極高的實(shí)用價(jià)值和經(jīng)濟(jì)價(jià)值。國外眾多高校、公司與研究機(jī)構(gòu)都開展了廣泛并深入的研究,取得了一定的成果7,8。美國和歐洲等國家,憑借自身技術(shù)和資金上的優(yōu)勢,從上世紀(jì)90年代起率先進(jìn)行了智能視頻監(jiān)控系統(tǒng)方面的研究,主要包括:美國國防高級研究項(xiàng)目署DARPA(Defense Advanced Research Projects Agency)的視覺重大監(jiān)控項(xiàng)目VSAM(Video Surveillance and Monitoring)項(xiàng)目9,由卡基梅隆大學(xué)(Carnegic Mel

34、lon University)、麻省理工學(xué)院(Massachusetts Institute of Technology)等十幾所高校與研究機(jī)構(gòu)參與,主要研究了在戰(zhàn)場與普通民用場景中,利用多傳感器、通信網(wǎng)絡(luò)等實(shí)現(xiàn)對區(qū)域的全方位全時(shí)段監(jiān)控,包括自動(dòng)視頻理解、目標(biāo)識別與跟蹤、行為分析等關(guān)鍵技術(shù);馬里蘭大學(xué)(University of Maryland)和美國國際商用機(jī)器公司(IBM)共同開發(fā)的實(shí)時(shí)監(jiān)控系統(tǒng)W410,針對夜晚和光線較差的室外環(huán)境,能對場景中出現(xiàn)的人進(jìn)行定位,通過建立外觀模型實(shí)現(xiàn)多人跟蹤,并且能對圖像中人的身體部分進(jìn)行分割,可以檢測出攜帶物體等行為并監(jiān)控人們的活動(dòng);歐洲委員會(huì)IST(I

35、nformation Society Technologies)資助的視頻標(biāo)注檢索監(jiān)控ADVISOR(Annotate Digital Video for Surveillance and Optimized Retrieval)項(xiàng)目11,能自動(dòng)分析地鐵站場景,識別個(gè)人行為活動(dòng),對公共交通系統(tǒng)場所進(jìn)行監(jiān)控。當(dāng)前,國際上一些權(quán)威期刊:模式分析和機(jī)器智能PAMI(IEEE Transactions on Pattern Analysis and Machine Intelligence)、計(jì)算機(jī)視覺IJCV(International Journal of Computer Vision)、圖像和

36、視覺計(jì)算IVC(Image and Vision Computing)等為智能視頻監(jiān)控領(lǐng)域開辟了專欄。一些重要學(xué)術(shù)會(huì)議:計(jì)算機(jī)視覺和模式識別會(huì)議CVPR(IEEE Computer Society Confe- rence on Computer Vision and Pattern Recognition)、視覺監(jiān)控會(huì)議IWVS(IEEE Interna- tional Workshop on Visual Surveillance)、國際計(jì)算機(jī)視覺會(huì)議ICCV(International Confe- rence on Computer Vision)等將智能視頻監(jiān)控與其關(guān)鍵技術(shù)作為會(huì)議的

37、主題之一,促進(jìn)了該研究領(lǐng)域的交流與發(fā)展。國在智能視頻監(jiān)控領(lǐng)域也開展大量的研究:中科院自動(dòng)化研究所模式識別國家重點(diǎn)實(shí)驗(yàn)室在人運(yùn)動(dòng)的視覺分析、交通場景視覺監(jiān)控和智能輪椅視覺導(dǎo)航等領(lǐng)域取得眾多成果,其中人的運(yùn)動(dòng)與行為視頻分析項(xiàng)目于2008年通過驗(yàn)收,目前已在地鐵線路中投入使用;清華大學(xué)研發(fā)出在戶外復(fù)雜環(huán)境下對人自動(dòng)檢測和識別的智能監(jiān)控系統(tǒng);交通大學(xué)圖像處理與模式識別研究所實(shí)現(xiàn)了智能報(bào)警系統(tǒng)中的圖像分割與標(biāo)記;交通大學(xué)研發(fā)出視頻監(jiān)控的生物特征識別系統(tǒng),能夠?qū)鼍爸械牟煌镞M(jìn)行標(biāo)記并識別;華南理工大學(xué)研制出車載駕駛員疲勞檢測系統(tǒng),能夠自動(dòng)檢測出駕駛員疲勞程度并發(fā)出警報(bào);中興大學(xué)在移動(dòng)視頻監(jiān)控領(lǐng)域取得了

38、優(yōu)異的成果,對進(jìn)入偵測圍的人和物體,通過分布式網(wǎng)絡(luò)進(jìn)行聯(lián)動(dòng)監(jiān)控。圖形圖像學(xué)報(bào)、電子學(xué)報(bào)、計(jì)算機(jī)工程、自動(dòng)化學(xué)報(bào)、控制與決策等都開辟了視頻監(jiān)控與圖像處理方面的專欄。中科院自動(dòng)化研究所、中國圖形圖像學(xué)會(huì)在國舉辦了多次學(xué)術(shù)研討會(huì)議,就智能視頻監(jiān)控領(lǐng)域開展了廣泛深入的研究,對視頻序列分析、目標(biāo)識別與跟蹤、監(jiān)控系統(tǒng)構(gòu)建、網(wǎng)絡(luò)視頻監(jiān)控等技術(shù)進(jìn)行了探討。2011年12月,全國首個(gè)城域級視頻監(jiān)控網(wǎng),被譽(yù)為城市智慧之眼的城市視頻監(jiān)控系統(tǒng)正式運(yùn)行,該系統(tǒng)由遍布全市的25萬個(gè)攝像頭組成,由城域級通信專網(wǎng)承載,能放大200米遠(yuǎn)的車牌,具有自動(dòng)監(jiān)控跟蹤,異常情況報(bào)告等功能?,F(xiàn)代富博公司通用圖像處理系統(tǒng)ImageSys,

39、能實(shí)現(xiàn)圖像變換、圖像濾波、圖像運(yùn)算、參數(shù)測量與統(tǒng)計(jì)等功能12。實(shí)時(shí)跟蹤測量系統(tǒng)RTTS能實(shí)現(xiàn)動(dòng)物、昆蟲、微生物等的行為解析,人體動(dòng)作解析,機(jī)器人視覺反饋、自動(dòng)跟蹤等。貝爾信(BELL SENT)公司在智能視頻監(jiān)控系統(tǒng)的研制和開發(fā)中,處于國的前列13,特別以高偵測條件下低誤報(bào)率和智能識別目標(biāo)驅(qū)動(dòng)PTZ(Pan/Tilt/Zoom)高速球機(jī)技術(shù)為代表,在國擁有較高的市場占有率,其中像某學(xué)校周界防監(jiān)控系統(tǒng)、某會(huì)場安全防護(hù)與計(jì)數(shù)管理系統(tǒng)、某核電站自動(dòng)PTZ跟蹤系統(tǒng)、某銀行視頻聯(lián)網(wǎng)監(jiān)控系統(tǒng)等都以成功的交付使用,并獲得了良好的運(yùn)行效果,如圖1.2所示。圖1.2 智能視頻監(jiān)控系統(tǒng)效果圖Fig1.2 the

40、running frames of intelligent video surveillance1.2.2 發(fā)展趨勢未來的視頻監(jiān)控系統(tǒng)將向智能化,實(shí)時(shí)化,小型化發(fā)展。智能化就是利用視頻分析方法和計(jì)算機(jī)視覺技術(shù)對視頻序列進(jìn)行分析,提取信息,發(fā)現(xiàn)感興趣事件。各種運(yùn)動(dòng)目標(biāo)檢測與跟蹤算法是視頻監(jiān)控系統(tǒng)實(shí)現(xiàn)智能化的關(guān)鍵,目前一個(gè)良好的算法應(yīng)該具有以下特點(diǎn):(1)準(zhǔn)確性,是指算法能檢測出視頻圖像序列中運(yùn)動(dòng)物體的準(zhǔn)確程度,能夠?qū)我荒繕?biāo)、多個(gè)目標(biāo)提取出目標(biāo)輪廓,在復(fù)雜背景環(huán)境、背景中存在大量干擾時(shí),也能準(zhǔn)確檢測出運(yùn)動(dòng)目標(biāo)。(2)實(shí)時(shí)性,是指算法處理圖像數(shù)據(jù),到獲得結(jié)果所需要的時(shí)間度量,在系統(tǒng)要求的準(zhǔn)確度下,

41、處理時(shí)間應(yīng)盡可能的短,如果一種高精度復(fù)雜算法耗時(shí)太長,幾秒才能處理1幀圖像,也是不能接受的。(3)魯棒性,是指算法在受到外界干擾時(shí),能繼續(xù)執(zhí)行原先功能的穩(wěn)定能力,如在有新目標(biāo)進(jìn)入畫面,畫面中目標(biāo)發(fā)生重疊等情況下,算法能繼續(xù)進(jìn)行目標(biāo)跟蹤而不發(fā)生丟失。因此如何開發(fā)一種精確度高,耗時(shí)短,高魯棒性的運(yùn)動(dòng)目標(biāo)檢測與跟蹤算法,是一直以來研究的熱點(diǎn)。實(shí)時(shí)化,要求系統(tǒng)采集、顯示圖像的同時(shí)進(jìn)行數(shù)據(jù)處理和數(shù)據(jù)分析,即分析一幀圖像數(shù)據(jù)并給出結(jié)果的時(shí)間應(yīng)盡可能短。由于視頻和圖像數(shù)據(jù)自身數(shù)據(jù)量大,怎樣在最短的時(shí)間對大量的數(shù)據(jù)進(jìn)行處理,可以從硬件和軟件兩個(gè)方面考慮,即對硬件設(shè)計(jì)進(jìn)行優(yōu)化或?qū)Υa進(jìn)行優(yōu)化。小型化,隨著系統(tǒng)的

42、規(guī)模越來越大,基于計(jì)算機(jī)的系統(tǒng)體積過于龐大,已經(jīng)不適應(yīng)這種發(fā)展,使用嵌入式系統(tǒng)是今后主要的發(fā)展方向。隨著微電子技術(shù)的發(fā)展,嵌入式系統(tǒng)體積更小,功能更強(qiáng)。專用集成電路ASIC(Appli- cation Specific Integrated Circuit)方案是基于ASIC芯片來實(shí)現(xiàn)的,各種專用于視頻圖像采集和處理,具備強(qiáng)大功能的集成芯片,為在嵌入式系統(tǒng)中實(shí)現(xiàn)視頻監(jiān)控提供了條件。目前,在嵌入式平臺上實(shí)現(xiàn)智能視頻監(jiān)控主要有以下3種:(1)基于ARM平臺,ARM(Advanced RISC Machine)處理器基于32位精簡指令集RISC(Reduced Instruction Set Com

43、puter)構(gòu)架,由于其指令執(zhí)行速度快,代碼效率高,支持高級語言編程等特點(diǎn),被廣泛使用在嵌入式系統(tǒng)設(shè)計(jì)中,ARM7、ARM9系列被廣泛應(yīng)用于聯(lián)動(dòng)控制、網(wǎng)絡(luò)傳輸、操作系統(tǒng)中,目前已推出了ARM11系列,但ARM芯片以邏輯控制為主,處理視頻或圖像等大量數(shù)據(jù)方面不是其強(qiáng)項(xiàng),因此用ARM平臺實(shí)現(xiàn)系統(tǒng)處理速度有限。(2)基于DSP平臺,DSP(Digital Signal Processor)處理器是一種專門為處理大量數(shù)據(jù)而設(shè)計(jì)的專用處理器,因?yàn)槠鋸?qiáng)大的數(shù)據(jù)處理能力和極快的運(yùn)算速度,特別適合于各種數(shù)字信號處理,能快速實(shí)現(xiàn)各種數(shù)據(jù)處理算法。隨著每秒48億次運(yùn)算速度DSP的出現(xiàn),使實(shí)時(shí)處理動(dòng)態(tài)圖像成為可能。

44、TI(Texas Instruments)公司的TMS320系列DSP,具有哈佛結(jié)構(gòu),以其硬件乘法器和流水線操作,能快速實(shí)現(xiàn)大量運(yùn)算,在個(gè)人移動(dòng)手持終端、多媒體產(chǎn)品、導(dǎo)航設(shè)備等產(chǎn)品市場中取得了非常成功的應(yīng)用。但是,DSP處理器部硬件線路是固定的,沒有辦法進(jìn)行重構(gòu),特定型號的芯片只能運(yùn)用在特定領(lǐng)域,不具有通用性。雖然處理數(shù)據(jù)方面有優(yōu)越性,但系統(tǒng)控制方面能力略顯不足。(3)基于FPGA平臺,F(xiàn)PGA(Field Programmable Gate Array)不同于以上2種定制的ASIC,而是一種半定制的電路,既繼承了ASIC大規(guī)模、高集成度的優(yōu)點(diǎn),又具有設(shè)計(jì)靈活等特點(diǎn)??删幊唐舷到y(tǒng)SOPC(S

45、ystem On a Programmable Chip)是基于大規(guī)??删幊唐骷﨔PGA芯片的單片系統(tǒng),它把整個(gè)系統(tǒng)利用邏輯編程技術(shù)集成到一個(gè)硅片上,包括硬核、軟核、存儲(chǔ)器、I/O設(shè)備等。SOPC技術(shù)能在單個(gè)芯片上實(shí)現(xiàn)可裁減、可擴(kuò)充、可升級的靈活邏輯功能,軟件、硬件均可編程。SOPC系統(tǒng)采用了IP核復(fù)用技術(shù),特別是IP軟核技術(shù),使得在一個(gè)系統(tǒng)中可以實(shí)現(xiàn)多處理器。用SOPC技術(shù)構(gòu)建的DSP核能完全使用目前各種成熟的DSP算法,由于實(shí)質(zhì)是執(zhí)行并行處理指令,因此實(shí)際上還可達(dá)到DSP芯片的處理速度。SOPC系統(tǒng)具有開發(fā)設(shè)計(jì)靈活、實(shí)時(shí)檢測、可移植操作系統(tǒng)、高級語言編程等優(yōu)點(diǎn)。基于FPGA的SOPC系統(tǒng)比

46、ARM系統(tǒng)處理速度快,比DSP系統(tǒng)控制能力強(qiáng),是未來智能視頻監(jiān)控系統(tǒng)開發(fā)的理想平臺。1.3 主要研究容與本文結(jié)構(gòu)本文主要就基于視頻的運(yùn)動(dòng)目標(biāo)檢測與跟跟系統(tǒng)進(jìn)行了研究,限制在單攝像機(jī)靜止背景下,研究了一個(gè)基于視頻的運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng)的主體結(jié)構(gòu)以與工作流程,系統(tǒng)視頻信號的制式以與各種圖像數(shù)據(jù)結(jié)構(gòu),以與圖像濾波、形態(tài)學(xué)處理、連通分量分析、灰度直方圖等圖像處理技術(shù)。研究了目前運(yùn)動(dòng)目標(biāo)檢測與跟蹤的各種算法,對算法進(jìn)行分析比較,提出了改進(jìn)后運(yùn)動(dòng)檢測和運(yùn)動(dòng)跟蹤算法,在VC+6.0平臺采用OpenCV視覺庫開發(fā),實(shí)現(xiàn)了視頻運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng)。設(shè)計(jì)了基于SOPC的實(shí)時(shí)運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng),分析系統(tǒng)處理

47、流程和工作機(jī)制,完成系統(tǒng)軟硬件設(shè)計(jì),最后對系統(tǒng)進(jìn)行仿真和測試。本文的研究工作主要包括:(1)在分析研究了常用的幀差法、背景減除法、光流法等運(yùn)動(dòng)目標(biāo)檢測算法的基礎(chǔ)上,結(jié)合系統(tǒng)的實(shí)時(shí)性要求,提出了一種累積差分更新的背景減除法,該算法采用累積差分更新法建立背景模型,相比基于高斯建模的算法實(shí)時(shí)性更好,經(jīng)測試該算法能在存在干擾背景環(huán)境下,準(zhǔn)確檢測出運(yùn)動(dòng)目標(biāo)。(2)分析了比較了連續(xù)自適應(yīng)均值漂移CAMShift算法與Kalman濾波算法,在綜合考慮跟蹤精度和計(jì)算復(fù)雜度的基礎(chǔ)上,提出了一種改進(jìn)后的Kalman濾波與目標(biāo)直方圖匹配相結(jié)合的運(yùn)動(dòng)目標(biāo)跟蹤算法,經(jīng)測試該算法能對檢測出的目標(biāo)進(jìn)行有效的跟蹤。(3)在V

48、C+6.0平臺上,采用OpenCV計(jì)算機(jī)視覺開源庫代碼進(jìn)行開發(fā),實(shí)現(xiàn)了基于MFC(Microsoft Foundation Classes)對話框的視頻運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng),該系統(tǒng)能采集由USB接口攝像頭輸入視頻數(shù)據(jù),實(shí)時(shí)檢測出場景中的運(yùn)動(dòng)物體并進(jìn)行跟蹤。(4)設(shè)計(jì)出基于SOPC的實(shí)時(shí)運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng),使用Altera ED2多媒體開發(fā)板,Cyclone系列EP2C35F672C6 FPGA芯片,完成系統(tǒng)的主體結(jié)構(gòu)設(shè)計(jì),使用VerilogHDL硬件描述語言設(shè)計(jì)系統(tǒng)各個(gè)模塊,移植了C/OS-操作系統(tǒng),完成系統(tǒng)初始化和處理算法程序,最后對系統(tǒng)進(jìn)行了仿真與測試。本文共分六章,各章容如下:第一

49、章 緒論 介紹了研究背景與意義,國外研究現(xiàn)狀與發(fā)展趨勢,本文主要研究容和各章安排。第二章 系統(tǒng)結(jié)構(gòu)與關(guān)鍵技術(shù) 給出了基于視頻的運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng)的功能模塊圖,介紹了系統(tǒng)所用到的視頻信號制式、圖像色彩模型和圖像處理技術(shù)。第三章 運(yùn)動(dòng)目標(biāo)檢測算法研究 介紹了目前常用的運(yùn)動(dòng)目標(biāo)檢測算法,分析了各種算法的優(yōu)缺點(diǎn),提出了一種累積差分更新的背景減除法,給出了應(yīng)用該算法的仿真結(jié)果。第四章 運(yùn)動(dòng)目標(biāo)跟蹤算法研究 介紹了CAMShift算法和Kalman濾波算法,分析各自的優(yōu)缺點(diǎn),提出了一種改進(jìn)后的Kalman濾波與目標(biāo)直方圖匹配相結(jié)合的跟蹤算法,給出算法仿真結(jié)果。第五章 基于VC+的運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng)

50、 介紹了在VC+平臺,利用OpenCV開源庫代碼開發(fā),實(shí)現(xiàn)本文提出的檢測與跟蹤算法的系統(tǒng)。第六章 基于SOPC的運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng) 介紹了SOPC開發(fā)平臺,給出了系統(tǒng)的總體設(shè)計(jì),各模塊的設(shè)計(jì),最后進(jìn)行了仿真與測試。結(jié)論 對本文研究工作進(jìn)行總結(jié),對今后的研究方向進(jìn)行展望。2 系統(tǒng)結(jié)構(gòu)與關(guān)鍵技術(shù)智能視頻監(jiān)控系統(tǒng)是一種能自動(dòng)地、智能地對攝像機(jī)或傳感器采集的視頻序列或圖像進(jìn)行分析和處理,實(shí)現(xiàn)監(jiān)控場景中的目標(biāo)檢測和追蹤的監(jiān)控系統(tǒng),有效地解決了傳統(tǒng)視頻監(jiān)控系統(tǒng)中存在的由人為因素帶來的實(shí)時(shí)性差、效率低的問題。智能視頻監(jiān)控系統(tǒng)通過對監(jiān)控場景中出現(xiàn)的物體信息進(jìn)行處理,能夠?qū)崟r(shí)檢測出運(yùn)動(dòng)物體,提取運(yùn)動(dòng)目標(biāo),獲

51、得目標(biāo)參數(shù),確定目標(biāo)位置,對運(yùn)動(dòng)目標(biāo)進(jìn)行匹配和跟蹤,進(jìn)而獲得目標(biāo)的運(yùn)動(dòng)軌跡。2.1 系統(tǒng)結(jié)構(gòu)智能視頻監(jiān)控系統(tǒng)實(shí)現(xiàn)了從攝像頭采集視頻數(shù)據(jù),數(shù)據(jù)傳送到工作站進(jìn)行處理,監(jiān)控終端監(jiān)視器畫面顯示,監(jiān)控畫面存儲(chǔ),異常情況報(bào)告,遠(yuǎn)程數(shù)據(jù)傳輸?shù)裙δ?。本文研究的基于視頻的運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng)是智能視頻監(jiān)控系統(tǒng)的一部分,要求能實(shí)現(xiàn)視頻數(shù)據(jù)采集、圖像數(shù)據(jù)處理、實(shí)時(shí)結(jié)果顯示等功能。系統(tǒng)的大體結(jié)構(gòu)如圖2.1所示。圖2.1 系統(tǒng)結(jié)構(gòu)Fig 2.1 the structure of system按照系統(tǒng)功能要求和工作機(jī)制,本文基于視頻的實(shí)時(shí)運(yùn)動(dòng)目標(biāo)檢測與跟蹤系統(tǒng)結(jié)構(gòu)分為以下5個(gè)部分:圖像采集部分:采集由攝像頭產(chǎn)生的視頻信

52、號,通過視頻解碼芯片將模擬電壓信號轉(zhuǎn)變?yōu)閿?shù)字圖像數(shù)據(jù),將圖像數(shù)據(jù)送入圖像存儲(chǔ)部分存儲(chǔ)與目標(biāo)檢測部分進(jìn)行處理。圖像存儲(chǔ)部分:將采集到的圖像數(shù)據(jù)存入存,以便顯示是調(diào)用,同時(shí)還負(fù)責(zé)后續(xù)檢測與跟蹤部分?jǐn)?shù)據(jù)的存儲(chǔ)。目標(biāo)檢測部分:對圖像采集部分送來的數(shù)據(jù)進(jìn)行處理,首先進(jìn)行預(yù)處理包括,彩色圖像到灰度圖像變換、圖像濾波,然后進(jìn)行運(yùn)動(dòng)目標(biāo)檢測包括,背景模型建立與更新、運(yùn)動(dòng)目標(biāo)提取,再對檢測得到的二值圖像還要進(jìn)行形態(tài)學(xué)處理、連通分量分析。目標(biāo)跟蹤部分:對檢測出的運(yùn)動(dòng)目標(biāo),計(jì)算目標(biāo)參數(shù),根據(jù)得到的目標(biāo)參數(shù),通過跟蹤算法,對下一幀中目標(biāo)參數(shù)進(jìn)行預(yù)測,得到下一幀實(shí)際參數(shù)后,結(jié)合匹配規(guī)則,進(jìn)行目標(biāo)匹配,并對預(yù)測作出修正,

53、實(shí)現(xiàn)對目標(biāo)的跟蹤。圖像顯示部分:將檢測與跟蹤部分與存儲(chǔ)部分的原始數(shù)據(jù)結(jié)合后,通過視頻編碼芯片將數(shù)字圖像數(shù)據(jù)轉(zhuǎn)變?yōu)槟M電壓信號,在顯示器上顯示結(jié)果。2.2 圖像處理技術(shù)2.2.1 圖像獲取圖像是由場景中的物體反射、透射或吸收照射源的能量而產(chǎn)生的,照射源可以是可見光、雷達(dá)波、紅外線、X射線等,而物體可以是蘋果、筆、物質(zhì)分子、甚至光源14。人類通過眼睛來獲取圖像,而機(jī)器的眼睛則是傳感器。傳感器中的敏感材料在一定的電源輸入功率下,將表面接受到的能量轉(zhuǎn)變?yōu)檩敵鲭妷?,連續(xù)的電壓波形就是原始的圖像數(shù)據(jù)了。這些原始數(shù)據(jù)進(jìn)行一些加工處理,成為規(guī)定的格式標(biāo)準(zhǔn)后,才能顯示出來。目前,圖像傳感器主要有CCD、CMOS

54、兩種類型。CCD(Charge-coupled Device)電荷耦合器件,1969年由美國Bell試驗(yàn)室的Willard S. Boyle和George E. Smith發(fā)明,兩位科學(xué)家也因發(fā)明了電荷耦合器件圖像傳感器CCD而獲得2009年諾貝爾物理學(xué)獎(jiǎng)。CMOS(Complementary Metal Oxide Semiconductor)互補(bǔ)金屬氧化物半導(dǎo)體,一種電壓控制的邏輯運(yùn)算放大器件,也被應(yīng)用于制造影像器材的感光元件。其中前者發(fā)展時(shí)間比較長,應(yīng)用較廣泛,而我們常見的攝像頭則多用價(jià)格相對低廉的CMOS作為傳感器。在攝像機(jī)、數(shù)碼相機(jī)、攝像頭中主要使用傳感器陣列,將具有敏感特性的元件封

55、裝成MM單元的穩(wěn)定陣列。傳感器與傳感器陣列如圖2.2所示。(a) 單個(gè)傳感器 (b) 傳感器陣列圖2.2 傳感器與傳感器陣列Fig 2.2 a sensor and a array of sensors數(shù)字圖像是將連續(xù)模擬圖像信號進(jìn)行采樣和量化后的結(jié)果,可以用一個(gè)矩陣來表示,如下: (2.1)就表示了一幅M行N列的數(shù)字圖像,矩陣中每個(gè)元素稱為一個(gè)像素。數(shù)字圖像有彩色圖像、灰度圖像、二值圖像等形式,若一幅圖像每個(gè)像素有級不同的顏色,該圖像稱為k比特圖像。2.2.2 視頻信號制式與圖像色彩模型(1)NTSC、PLA制式NTSC(National Television System Committe

56、e)是美國、日本、加拿大等國家采用的電視信號制式15,16,PAL(Phase Alternating Line)制式主要被西歐、中國、澳大利亞等國家采用17-19。PAL制式掃描奇數(shù)場在前,偶數(shù)場在后,8MHz傳輸帶寬。PAL制式傳輸一個(gè)亮度信號和兩個(gè)色度信號,其中一個(gè)色度信號進(jìn)行逐行交替相位調(diào)制,另一個(gè)色度信號進(jìn)行正交平衡調(diào)制。PAL制式有ITU-R BT.656和ITU-R BT.601兩種國際標(biāo)準(zhǔn)。ITU-R BT.601標(biāo)準(zhǔn),采用21芯接口,16位并行數(shù)據(jù)傳輸,Y、U、V信號同時(shí)傳輸,行場同步信號單獨(dú)輸出。ITU-R BT.656標(biāo)準(zhǔn),采用9芯接口,8位串行數(shù)據(jù)傳輸,先傳Y信號再傳U

57、、V信號,行場同步信號嵌入數(shù)據(jù)流中。BT.656是BT.601附件A中的數(shù)字接口標(biāo)準(zhǔn),簡單地說就是定義了一種數(shù)據(jù)傳輸接口而已。BT.601是演播室數(shù)字編碼參數(shù)標(biāo)準(zhǔn),BT.656是該標(biāo)準(zhǔn)下用于數(shù)字視頻設(shè)備之間的傳輸接口標(biāo)準(zhǔn)。在BT.601數(shù)字視頻編碼格式中,亮度信號采樣頻率為13.5MHz,色度信號采樣頻率為6.75MHz,這樣得到的采樣數(shù)據(jù)就是YCbCr4:2:2格式,每個(gè)采樣點(diǎn)進(jìn)行8比特量化,則輸出比特流為13.5MHz8+6.75MHz82=216Mb/s。本文中輸入的視頻信號就是ITU-R BT.656標(biāo)準(zhǔn)的YCbCr 4:4:2格式。(2)RGB、CMY、YUV色彩模型色彩模型是用來描

58、述色彩空間的集合,就像笛卡爾坐標(biāo)系是用來描述歐幾里德幾何空間的集合一樣。常見的色彩模型有RGB模型、CMY模型、YUV模型。RGB模型是計(jì)算機(jī)顯示器,電視機(jī),LCD顯示屏等使用的色彩模型,凡是要靠自身發(fā)光來顯示圖像的都采用這一模型。CMY模型是彩色打印機(jī)、復(fù)印機(jī)等使用的色彩模型,凡是靠其它光源照射來顯示圖像的都基于此模型。YUV模型是現(xiàn)代電視信號制式的彩色圖像格式,也是缺省情況下的圖像和視頻壓縮編碼標(biāo)準(zhǔn)。RGB色彩模型來源于自然界中的三原色,Red、Green、Blue原色光譜分量按一定比列混合,就能夠得到RGB模型中的任意一種顏色,該模型基于笛卡爾坐標(biāo)系,顏色空間是一立方體,紅綠藍(lán)分別位于坐

59、標(biāo)軸上3個(gè)頂點(diǎn),黑色位于原點(diǎn),白色位于原點(diǎn)的對角,將顏色值歸一化后,所有的顏色值都在立方體表面或部,灰度等級沿黑白兩點(diǎn)間的連線分布。RGB模型顏色空間如圖2.3所示:(a)空間坐標(biāo)系 (b)顏色空間圖2.3 RGB顏色空間Fig 2.3 RGB color space在RGB彩色模型中,一幅圖像每個(gè)像素分別由R、G、B分量組成,若每一分量用8比特表示,即每一分量可有種顏色,3種分量可有種顏色,此類圖像稱為全彩色圖像。將圖像送入顯示器進(jìn)行顯示時(shí),3幅圖像在顯示屏上產(chǎn)生一幅合成的彩色圖像。使用RGB三原色表示的圖像被稱為位圖(bitmap),在Windows系統(tǒng)中后綴BMP,但對于全彩色圖像來說,

60、一幅640480像素的圖像大小為6404803=921600字節(jié),需大量存儲(chǔ)空間,因此Windows中使用了一種基于顏色表LUT(Look Up Table)的調(diào)色板技術(shù),減少了存放圖像需要的存儲(chǔ)空間。BMP格式?jīng)]有灰度圖像的概念,若圖像每個(gè)像素R=G=B=,該圖像就是灰度圖像,RGB模型彩色圖像轉(zhuǎn)變?yōu)榛叶葓D像的公式如下: (2.2)CMY色彩模型與RGB模型相似,但采用的三基色青、深紅、黃是顏料原色,與RGB中三原色相加產(chǎn)生色彩不同,CMY模型是基于相減色原理的,例如青色吸收白光中的紅色,深紅吸收白光中的綠色,黃吸收白光中的藍(lán)色。等量的青、深紅、黃混合產(chǎn)生黑色(吸收產(chǎn)生),但顏料混合產(chǎn)生的黑

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論