




版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領
文檔簡介
1、 EDA課程設計 交通燈設計(shj) 學院(xuyun): 電 氣 信 息 學 院 專業(yè)(zhuny): 通 信 工 程 班級: 02 學號: 1104140209 姓名: 廖 振 宇 指導教師: 楊 志 芳 2013年11月30日十字路口(shzlku)的信號燈控制電路設計(shj)任務與要求實現(xiàn)(shxin)一個十字路口的信號燈控制電路。信號燈分別由紅、黃、綠,左轉(zhuǎn)四個燈組成,運行時,東西方向綠燈亮45秒鐘,黃燈亮5秒,左轉(zhuǎn)燈亮15秒,黃燈亮5秒,紅燈亮,同時另一方向的綠燈亮,紅燈亮的時間為60秒 。期間南北方向綠燈亮40秒,黃燈5秒,左轉(zhuǎn)燈10秒,黃燈5秒??傮w框圖分頻器計數(shù)器控制器紅
2、綠燈顯示顯示控制電路掃描顯示電路七段數(shù)碼管 圖一交通燈控制系統(tǒng)框圖1.設計思路:在某一十字路口交叉地帶,可設置東西走向的道路為主道,南北走向的道路為次道,主次車道的交通燈需按交通法則交替運行。則可設計邏輯電路。2.分析系統(tǒng)的邏輯功能: 交通燈控制系統(tǒng)原理圖如圖一,由計數(shù)器、分頻器、控制器、分位譯碼電路、掃描顯示電路等部分組成。3.個狀態(tài)過程如下: 狀態(tài)一:主干道紅燈LED顯示數(shù)字60,次干道綠燈顯示40為狀態(tài)s0;狀態(tài)二:次道綠燈主道紅燈持續(xù)時間小于40s時,s1狀態(tài)保持不變,若持續(xù)時間等于45s時,轉(zhuǎn)換到下一狀態(tài)。 狀態(tài)(zhungti)三:次道黃燈計時小于5s主道紅燈(hn dn)持續(xù)時間
3、小于45s時,s2狀態(tài)(zhungti)保持不變,若黃燈持續(xù)時間等于5s時,s2轉(zhuǎn)換到下一狀態(tài)。 狀態(tài)四:次道左轉(zhuǎn)燈主道紅燈持續(xù)時間小于10s時,s3狀態(tài)保持不變,若持續(xù)時間等于10s時,s3轉(zhuǎn)換到下一狀態(tài)。狀態(tài)五:次道黃燈主道紅燈持續(xù)時間小于5s時,s4=0狀態(tài)保持不變,若持續(xù)時間等于5s時,s4轉(zhuǎn)換到下一狀態(tài)。狀態(tài)六:主道綠燈顯示數(shù)值45,次道紅燈顯示數(shù)值70s,當主道綠燈持續(xù)時間小于45s時s5狀態(tài)保持不變,等于45秒時,s5跳轉(zhuǎn)到下一狀態(tài)。狀態(tài)七:主道黃燈顯示數(shù)值5,次道紅燈顯示數(shù)值25s,當主道黃燈持續(xù)時間小于5s時s6狀態(tài)保持不變,等于5s時,s6跳轉(zhuǎn)到下一狀態(tài)。狀態(tài)八:主道左轉(zhuǎn)燈
4、顯示數(shù)值15,次道紅燈顯示數(shù)值20s,當主道左轉(zhuǎn)燈持續(xù)時間小于15s時s7狀態(tài)保持不變,等于15秒時,s7跳轉(zhuǎn)到下一狀態(tài)。狀態(tài)九:主道黃燈顯示數(shù)值5,次道紅燈顯示數(shù)值5s,當主道黃燈持續(xù)時間小于5s時s8狀態(tài)保持不變,等于5s時,s8跳轉(zhuǎn)到下一狀態(tài)。選擇器件裝有Altera公司QuartusII仿真軟件的計算機一臺。選擇FPGA器件:Cyclone中的EP1C12Q240C8。EDA-VI實驗箱一臺。實現(xiàn)數(shù)據(jù)下載的數(shù)據(jù)線,導線。開關(guān)以及LED燈。四位八段數(shù)碼顯示管。 功能模塊模塊一 :分頻器分頻器實現(xiàn)的是將高頻時鐘信號轉(zhuǎn)換成低頻時鐘信號,clk信號經(jīng)分頻器將50MHz分為250Hz和1Hz提供
5、給計數(shù)器、控制器和掃描顯示電路所需的時鐘計時脈沖。分頻器模塊(div) VHDL源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity div isport(clk3:IN std_logic; clkout1,clkout2:OUT std_logic); end div;architecture one of div isbeginprocess(clk3)variable cnt:integer range 0 to 25000000;variable tmp:std_logi
6、c;begin if(clk3event and clk3=1)then if cnt=24999999 then cnt:=0; tmp:=not tmp;else cnt:=cnt+1;end if;end if;clkout1=99999 then cnt:=0; tmp:=not tmp;else cnt:=cnt+1;end if;end if;clkout2124 THEN S:=1; else S:=S+1; IF 0S AND S41 THEN 40s內(nèi) G1=0; 主道綠燈(ldng)亮 Y1=1; R1=1; L1=1; G2=1; Y2=1; R2=0; 次道紅燈亮 L2
7、=1;ELSIF s=41 THEN 主道綠燈閃爍的第一秒 G1=1; Y1=1; R1=1; L1=1; G2=1; Y2=1; R2=0; L2=1;ELSIF s=42 THEN G1=0; Y1=1; R1=1; L1=1; G2=1; Y2=1; R2=0; L2=1;ELSIF s=43 THEN G1=1; Y1=1; R1=1; L1=1; G2=1; Y2=1; R2=0; L2=1;ELSIF s=44 THEN G1=0; Y1=1; R1=1; L1=1; G2=1; Y2=1; R2=0; L2=1;ELSIF s=45 THEN 主道綠燈(ldng)閃爍最后一秒 G
8、1=1; Y1=1; R1=1; L1=1; G2=1; Y2=1; R2=0; L2=1; ELSIF 45S AND s61 THEN G1=1; Y1=1; R1=1; L1=0; 主道左轉(zhuǎn)燈(zhun dn)亮 G2=1; Y2=1; R2=0; L2=1; ELSIF 60S AND s63 THEN G1=1; Y1=0; 主道黃燈亮 R1=1; L1=1; G2=1; Y2=1; R2=0; L2=1;ELSIF 62s AND s103 THEN G1=1; Y1=1; R1=0; 主道紅燈(hn dn)亮 L1=1; G2=0; 次道綠燈(ldng)亮 Y2=1; R2=1;
9、 L2=1; ELSIF S=103 THEN 次道綠燈閃爍第一秒 G1=1; Y1=1; R1=0; 主道紅燈亮 L1=1; G2=1; Y2=1; R2=1; L2=1;ELSIF S=104 THEN G1=1; Y1=1; R1=0; L1=1; G2=0; Y2=1; R2=1; L2=1;ELSIF S=105 THEN G1=1; Y1=1; R1=0; L1=1; G2=1; Y2=1; R2=1; L2=1;ELSIF S=106 THEN G1=1; Y1=1; R1=0; L1=1; G2=0; Y2=1; R2=1; L2=1;ELSIF S=107 THEN 次道綠燈
10、(ldng)閃爍最后一秒 G1=1; Y1=1; R1=0; L1=1; G2=1; Y2=1; R2=1; L2=1;ELSIF 107s and s123 THEN G1=1; Y1=1; R1=0; L1=1; G2=1; Y2=1; R2=1; L2=0;ELSIF 122s and s125 THEN G1=1; Y1=1; R1=0; L1=1; G2=1; Y2=0; R2=1; L2=1; END IF; END IF;END IF; END PROCESS;END; 控制器仿真(fn zhn)波形模塊(m kui)三: 顯示控制電路輸入(shr)與計數(shù)器相連,輸出與掃描顯示電
11、路相連VHDL源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY XSKZ IS PORT(EN45A,EN45B,EN02A,EN02B,EN15A,EN15B:IN STD_LOGIC; AIN45A,AIN45B:IN STD_LOGIC_VECTOR(7 DOWNTO 0); AIN15A,AIN15B:IN STD_LOGIC_VECTOR(7 DOWNTO 0); AIN02 :IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUTM,DOUTB:
12、OUT STD_LOGIC_VECTOR(7 DOWNTO 0);END ENTITY XSKZ;ARCHITECTURE ART OF XSKZ IS BEGIN PROCESS(EN45A,EN45B,EN02A,EN02B,EN15A,EN15B,AIN45A,AIN45B,AIN15A,AIN15B,AIN02)IS BEGIN IF EN45A=0 THEN DOUTM=AIN45A(7 DOWNTO 0);DOUTB=AIN45B(7 DOWNTO 0); ELSIF EN45B=0 THEN DOUTM=AIN45B(7 DOWNTO 0);DOUTB=AIN45A(7 DOWN
13、TO 0);ELSIF EN02A=0 THEN DOUTM=AIN02(7 DOWNTO 0);DOUTB=AIN02(7 DOWNTO 0); ELSIF EN02B=0 THEN DOUTM=AIN02(7 DOWNTO 0);DOUTB=AIN02(7 DOWNTO 0);ELSIF EN15A=0THEN DOUTM=AIN15A(7 DOWNTO 0);DOUTB=AIN15B(7 DOWNTO 0);ELSIF EN15B=0THEN DOUTM=AIN15B(7 DOWNTO 0);DOUTB=AIN15A(7 DOWNTO 0); END IF; END PROCESS;EN
14、D ARCHITECTURE ART;顯示控制(kngzh)仿真波形模塊四: 掃描顯示(xinsh)電路 掃描(somio)顯示電路可以根據(jù)控制信號,驅(qū)動數(shù)碼管的顯示掃描顯示模塊(SELTIME) VHDL源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY SELTIME ISPORT(CLK2:STD_LOGIC; DOUT1,DOUT2,DOUT3,DOUT4:IN STD_LOGIC_VECTOR(3 DOWNTO
15、0); DAOUT:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); SEL:OUT STD_LOGIC_VECTOR(2 DOWNTO 0);END;ARCHITECTURE FUN OF SELTIME ISSIGNAL count: STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINSEL=100)THENCOUNT=000;ELSECOUNTDAOUTDAOUTDAOUTDAOUTDAOUT=0000;END CASE;END PROCESS;END FUN;掃描(somio)顯示仿真波形模塊(m kui)五: 45s計數(shù)器 該模塊的功能是實現(xiàn)綠燈在
16、45s內(nèi)的倒計時,通過分頻器分出來(ch li)的時鐘源clk進行計數(shù)。45s模塊(m kui)(CNT45S)VHDL源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity CNT45s is port(clk,EN45A,EN45B:in std_logic; DOUT45M,DOUT45B:out std_logic_vector(7 downto 0);end entity CNT45s;architecture art of CNT45s issignal CNT6B:st
17、d_logic_vector(5 downto 0);beginprocess(clk,EN45A,EN45B)is begin if(clkevent and clk=1)then if EN45A=0OR EN45B=0 then CNT6B=CNT6B+1; ELSECNT6BDOUT45M=01000101;DOUT45BDOUT45M=01000100;DOUT45BDOUT45M=01000011;DOUT45BDOUT45M=01000010;DOUT45BDOUT45M=01000001;DOUT45BDOUT45M=01000000;DOUT45BDOUT45M=001110
18、01;DOUT45BDOUT45M=00111000;DOUT45BDOUT45M=00110111;DOUT45BDOUT45M=00110110;DOUT45BDOUT45M=00000100;DOUT45BDOUT45M=00000011;DOUT45BDOUT45M=00000010;DOUT45BDOUT45M=00000001;DOUT45BDOUT45M=00000000;DOUT45B=00000000;END CASE;END PROCESS;END ARCHITECTURE ART; 45秒計數(shù)器仿真(fn zhn)波形模塊(m kui)六:15s計數(shù)器 主要(zhyo)控
19、制左轉(zhuǎn)燈L1、L2的倒計時功能,控制他們的15s倒計時。15s模塊(CNT15S)VHDL源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity CNT15s is port(clk,EN15A,EN15B:in std_logic; DOUT15M,DOUT15B:out std_logic_vector(7 downto 0);end entity CNT15s;architecture art of CNT15s issignal CNT6B:std_logic_vector(
20、3 downto 0);beginprocess(clk,EN15A,EN15B)is begin if(clkevent and clk=1)then if EN15A=0OR EN15B=0 then CNT6B=CNT6B+1; ELSECNT6BDOUT15M=00010110;DOUT15BDOUT15M=00010101;DOUT15BDOUT15M=00010100;DOUT15BDOUT15M=00010011;DOUT15BDOUT15M=00010010;DOUT15BDOUT15M=00010001;DOUT15BDOUT15M=00010000;DOUT15BDOUT1
21、5M=00001001;DOUT15BDOUT15M=00001000;DOUT15BDOUT15M=00000111;DOUT15BDOUT15M=00000110;DOUT15BDOUT15M=00000101;DOUT15BDOUT15M=00000100;DOUT15BDOUT15M=00000011;DOUT15BDOUT15M=00000010;DOUT15BDOUT15M=00000001;DOUT15BDOUT15M=00000000;DOUT15B=00000000;END CASE;END PROCESS;END ARCHITECTURE ART;15秒計數(shù)器仿真(fn z
22、hn)波形模塊(m kui)七:2s計數(shù)器 控制(kngzh)黃燈Y1、Y2的2s倒計時功能2s模塊(m kui)(CNT2S)VHDL源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity CNT02s is port(clk,EN02A,EN02B:in std_logic; DOUT02M:out std_logic_vector(7 downto 0);end entity CNT02s;architecture art of CNT02s issignal CNT2B:st
23、d_logic_vector(1 downto 0);beginprocess(clk,EN02A,EN02B)is begin if(clkevent and clk=1)then if EN02A=0OR EN02B=0 then CNT2B=CNT2B+1; ELSECNT2BDOUT02MDOUT02MDOUT02M=00000000;END CASE;END PROCESS;END ARCHITECTURE ART;2秒計數(shù)器仿真(fn zhn)波形模塊(m kui)八:譯碼器(decode3_8)3-8譯碼器模塊(m kui)VHDL源程序:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- 心肺復蘇知識培訓健康宣教
- 農(nóng)作物高產(chǎn)栽培技術(shù)及農(nóng)業(yè)技術(shù)推廣應用分析
- 經(jīng)濟新常態(tài)下企業(yè)管理創(chuàng)新思考
- 如何學好網(wǎng)絡安全
- 人工智能在金融欺詐檢測中的應用
- 涵洞八字翼墻開口施工方案
- 尋找孝心少年觀后感
- 設計創(chuàng)意工作室租賃合同
- 電力監(jiān)理公司安全事故應急預案
- 梁河縣籃球館施工方案
- 香港公立醫(yī)療管理體系課件
- 2022-2023學年河北省唐山市十縣聯(lián)盟高二下學期期中考試英語試題原卷版+解析版含聽力音頻無聽力原文
- 《飯店服務與管理》認識飯店的“神經(jīng)中樞”
- GB/T 15856.5-2023六角凸緣自鉆自攻螺釘
- 電子產(chǎn)品質(zhì)量工程技術(shù)與管理高職PPT全套完整教學課件
- 【橡膠工藝】-橡膠履帶規(guī)格
- 小學勞動技術(shù)云教三年級下冊植物栽培種植小蔥(省一等獎)
- 2020年環(huán)境法律法規(guī)及其它要求清單
- 綜采工作面主要設備選型設計方案
- 籍貫對照表完整版
- GB/T 7251.3-2017低壓成套開關(guān)設備和控制設備第3部分:由一般人員操作的配電板(DBO)
評論
0/150
提交評論