版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進行舉報或認領(lǐng)
文檔簡介
1、北京郵電大學實 驗 報 告實驗名稱:數(shù)碼管掃描顯示控制器設(shè)計與實現(xiàn) 學 院: 信息與通信工程學院 班 級: 姓 名: 學 號: 日 期: 2021年5月 索 引 TOC o 1-3 h z u HYPERLINK l _Toc263168746 一實驗?zāi)康?PAGEREF _Toc263168746 h 3 HYPERLINK l _Toc263168747 二實驗所用儀器及元器件 PAGEREF _Toc263168747 h 3 HYPERLINK l _Toc263168748 三實驗任務(wù)要求 PAGEREF _Toc263168748 h 3 HYPERLINK l _Toc26316
2、8749 四實驗設(shè)計思路及過程 PAGEREF _Toc263168749 h 4 HYPERLINK l _Toc263168750 1.實驗原理 PAGEREF _Toc263168750 h 4 HYPERLINK l _Toc263168751 2.設(shè)計思路 PAGEREF _Toc263168751 h 4 HYPERLINK l _Toc263168752 代碼 PAGEREF _Toc263168752 h 5 HYPERLINK l _Toc263168753 A.實驗任務(wù)1 PAGEREF _Toc263168753 h 5 HYPERLINK l _Toc263168754
3、 B.實驗任務(wù)2-I PAGEREF _Toc263168754 h 8 HYPERLINK l _Toc263168755 C.實驗任務(wù)2-II PAGEREF _Toc263168755 h 11 HYPERLINK l _Toc263168756 五仿真波形及分析 PAGEREF _Toc263168756 h 12 HYPERLINK l _Toc263168757 1.仿真波形 PAGEREF _Toc263168757 h 12 HYPERLINK l _Toc263168758 實驗任務(wù)1 PAGEREF _Toc263168758 h 12 HYPERLINK l _Toc26
4、3168759 實驗任務(wù)2-I PAGEREF _Toc263168759 h 13 HYPERLINK l _Toc263168760 3.波形分析 PAGEREF _Toc263168760 h 17 HYPERLINK l _Toc263168761 實驗任務(wù)1 PAGEREF _Toc263168761 h 17 HYPERLINK l _Toc263168762 實驗任務(wù)2-I PAGEREF _Toc263168762 h 17 HYPERLINK l _Toc263168763 六故障及問題分析 PAGEREF _Toc263168763 h 18 HYPERLINK l _To
5、c263168764 1.頻率設(shè)置問題 PAGEREF _Toc263168764 h 18 HYPERLINK l _Toc263168765 2.觸發(fā)問題 PAGEREF _Toc263168765 h 18 HYPERLINK l _Toc263168766 3.邏輯實現(xiàn)問題 PAGEREF _Toc263168766 h 18 HYPERLINK l _Toc263168767 七本實驗總結(jié)與結(jié)論 PAGEREF _Toc263168767 h 19 HYPERLINK l _Toc263168768 八學期總結(jié) PAGEREF _Toc263168768 h 19 HYPERLINK
6、 l _Toc263168769 九參考文獻 PAGEREF _Toc263168769 h 19一實驗?zāi)康恼莆誚HDL語言的語法標準,掌握時序電路描述方法掌握多個數(shù)碼管動態(tài)掃描顯示的原理及設(shè)計方法二實驗所用儀器及元器件計算機直流穩(wěn)壓電源數(shù)字系統(tǒng)與邏輯設(shè)計實驗開發(fā)板三實驗任務(wù)要求用VHDL語言設(shè)計并實現(xiàn)六個數(shù)碼管串行掃描電路,要求同時顯示0,1,2,3,4,5這六個不同的數(shù)字圖形到六個數(shù)碼管上,仿真下載驗證其功能。用VHDL語言設(shè)計并實現(xiàn)六個數(shù)碼管滾動顯示電路。(選作)循環(huán)滾動,始終點亮6個數(shù)碼管,左出右進。狀態(tài)為:012345123450234501345012450123501234012
7、345向左滾動,用全滅的數(shù)碼管充右邊,直至全部變滅,然后再依次從右邊一個一個地點亮。狀態(tài)為:01234512345X2345XX345XXX45XXXX5XXXXXXXXXXXXXXXX0XXXX01XXX012XX0123X01234012345,其中X表示數(shù)碼管不顯示。四實驗設(shè)計思路及過程為使得輸入控制電路簡單且易于實現(xiàn),采用動態(tài)掃描的方式實現(xiàn)設(shè)計要求。動態(tài)掃描顯示需要由兩組信號來控制:一組是字段輸出口輸出的字形代碼,用來控制顯示的字形,稱為段碼;另一組是位輸出口輸出的控制信號,用來選擇第幾位數(shù)碼管工作,稱為位碼。各位數(shù)碼管的段線并聯(lián),段碼的輸出對各位數(shù)碼管來說都是相同的。因此在同一時刻如
8、果各位數(shù)碼管的位選線都處于選通狀態(tài)的話,6位數(shù)碼管將顯示相同的字符。假設(shè)要各位數(shù)碼管能夠顯示出與本位相應(yīng)的字符,就必須采用掃描顯示方式,即在某一時刻,只讓某一位的位選線處于導(dǎo)通狀態(tài),而其它各位的位選線處于關(guān)閉狀態(tài)。同時,段線上輸出相應(yīng)位要顯示字符的字型碼。這樣在同一時刻,只有選通的那一位顯示出字符,而其它各位那么是熄滅的,如此循環(huán)下去,就可以使各位數(shù)碼管顯示出將要顯示的字符。雖然這些字符是在不同時刻出現(xiàn)的,而且同一時刻,只有一位顯示,其它各位熄滅,但由于數(shù)碼管具有余輝特性和人眼有視覺暫留現(xiàn)象,只要每位數(shù)碼管顯示間隔足夠短,給人眼的視覺印象就會是連續(xù)穩(wěn)定地顯示。總之,多個數(shù)碼管動態(tài)掃描顯示,是將
9、所有數(shù)碼管的相同段并聯(lián)在一起,通過選通信號分時控制各個數(shù)碼管的公共端,循環(huán)一次點亮多個數(shù)碼管,并利用人眼的視覺暫留現(xiàn)象,只要掃描的頻率大于50Hz,將看不到閃爍現(xiàn)象。6個數(shù)碼管那么需要50*6=300Hz以上才能看到持續(xù)穩(wěn)定點亮的現(xiàn)象。2.設(shè)計思路設(shè)計時序電路,輸入時鐘經(jīng)過一個分頻器,產(chǎn)生2kHz的掃描信號作為時鐘,驅(qū)動計數(shù)器工作。選用模值為6的計數(shù)器,通過一個3線至6線譯碼器,產(chǎn)生段碼,依次控制6個LED的亮滅,使得某一時刻有且僅有一個LED點亮,同時產(chǎn)生對應(yīng)的,將點亮的LED數(shù)碼管賦值顯示為相應(yīng)的數(shù)碼予以顯示。由于掃描頻率較高,6位LED數(shù)碼管序列將顯示持續(xù)穩(wěn)定的0至5的數(shù)碼。3.VHDL
10、代碼A.實驗任務(wù)1實現(xiàn)代碼-2kHz 分頻器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity div2k isport(clk_in : in std_logic; clk_out : out std_logic);end;architecture a of div2k issignal cnt : integer range 0 to 999;signal clk_tmp : std_logic;beginprocess(clk_in)beginif (clk_inevent and
11、 clk_in=1) thenif cnt=999 thencnt=0; clk_tmp= not clk_tmp;elsecnt=cnt+1;end if;end if;end process;clk_outCLK,clk_out=clk_tmp);P1:process(CNT6) BEGIN CASE CNT6 IS -3線至6線譯碼器 WHEN 0 = BT = 011111 ; A BT = 101111 ; A BT = 110111 ; A BT = 111011 ; A BT = 111101 ; A BT = 111110 ; A NULL ; END CASE ; END P
12、ROCESS P1;P2:process(clk_tmp) BEGIN IF clk_tmpEVENT AND clk_tmp = 1 THEN -實現(xiàn)模6計數(shù)器CNT6 = CNT6 + 1; if CNT6 = 5 thenCNT6 SG SG SG SG SG SG NULL ; END CASE ; END PROCESS P3; END arc;代碼說明通過分頻器輸入產(chǎn)生選通脈沖,控制0至5號LED數(shù)碼管依次亮滅,同時使用數(shù)碼顯示信號使得數(shù)碼管顯示相應(yīng)數(shù)碼。實現(xiàn)時通過連接引入分頻信號,通過數(shù)據(jù)選擇器選擇數(shù)碼管。計數(shù)器信號觸發(fā)數(shù)據(jù)選擇器,賦值給位碼觸發(fā)數(shù)碼管顯示數(shù)碼。最終實現(xiàn)動態(tài)掃描顯
13、示數(shù)字序列。B.實驗任務(wù)2-I實現(xiàn)代碼LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY scan_led_2 IS PORT ( CLK : IN STD_LOGIC; SG : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); BT : OUT STD_LOGIC_VECTOR(5 DOWNTO 0) ); END;ARCHITECTURE arc OF scan_led_2 IScomponent div2kport(clk_in: in std_logic; c
14、lk_out: out std_logic);end component; SIGNAL CNT6 : INTEGER RANGE 0 TO 6; SIGNAL A : INTEGER RANGE 0 TO 5;SIGNAL COUNT : INTEGER RANGE 0 TO 5001 := 0;SIGNAl FLAG : INTEGER RANGE 0 TO 7 := 0; SIGNAl FLAG_A : INTEGER RANGE 0 TO 5;SIGNAL clk_tmp : STD_LOGIC;BEGINu1:div2k port map(clk_in=CLK,clk_out=clk
15、_tmp);P1:process(CNT6) BEGIN CASE CNT6 IS WHEN 0 = BT = 011111 ; A BT = 101111 ; A BT = 110111 ; A BT = 111011 ; A BT = 111101 ; A BT = 111110 ; A NULL ; END CASE ; END PROCESS P1;P2:process(clk_tmp) BEGIN IF clk_tmpEVENT AND clk_tmp = 1 THEN -實現(xiàn)模6計數(shù)器CNT6 = CNT6 + 1; if CNT6 = 5 then CNT6 = 0;end if
16、;IF (FLAG = 6) THEN-設(shè)置標志 FLAG = 0; END IF;IF COUNT = 5000 THEN-相當于另一個時鐘COUNT = 0;-計數(shù)周期為5000FLAG = FLAG + 1; -當記滿5000時左移動一位 ELSECOUNT = COUNT + 1;-不滿5000繼續(xù)計數(shù)END IF; END IF; END PROCESS P2;P3:process(A)BEGIN FLAG_A SG SG SG SG SG SG NULL ; END CASE ; END PROCESS P3; END arc;代碼說明代碼主體和實驗任務(wù)1中一致,根本思想也一致。為
17、實現(xiàn)移位,關(guān)鍵改動為新增一個計數(shù)器,技術(shù)周期遠遠大于掃描周期,這樣,在一個大的計數(shù)周期內(nèi),對于要顯示的6位數(shù)碼進行動態(tài)掃描和實驗任務(wù)1中相同,顯示出6種移位狀態(tài)中的一種;在下一個大的周期內(nèi),利用FLAG標志,并使用求余運算將顯示位的數(shù)碼移位,比方,大的計數(shù)周期為0時,F(xiàn)LAG為0,顯示“012345六位數(shù)碼,大的周期為1時,F(xiàn)ALG為1,此時各位求余即FLAG_A求余對應(yīng)的數(shù)碼為123450,顯示的數(shù)碼也就為“123450。以此類推,實現(xiàn)循環(huán)移位。C.實驗任務(wù)2-II實現(xiàn)代碼P2:process(clk_tmp) BEGIN IF clk_tmpEVENT AND clk_tmp = 1 TH
18、EN CNT6 = CNT6 + 1; -模6計數(shù)器if CNT6 = 6 thenCNT6 = 0;end if;IF (FLAG = 7) THEN FLAG_SC = 1; -序列反移位標志END IF;IF (FLAG = 0) THEN FLAG_SC = 0; -序列左移位標志END IF;IF COUNT = 5000 THENCOUNT = 0;IF FLAG_SC = 1 THENFLAG = FLAG - 1 ; -記滿大周期序列反移位ELSEFLAG = FLAG + 1 ; -記滿大周期序列左移位END IF;ELSECOUNT = COUNT + 1;END IF;I
19、F FLAG_SC = 1 THENFLAG_A = A - FLAG ;-序列反移位ELSEFLAG_A = A + FLAG ;-序列左移位END IF; END IF; END PROCESS P2;代碼說明此處為關(guān)鍵局部代碼,其余代碼同實驗任務(wù)2-I中根本相同。實現(xiàn)根本思路為:先左移位,方法同實驗任務(wù)2-I,進行加運算,稍有不同為左移出的數(shù)碼不從右移入,因而沒有取余運算,大于6的數(shù)碼狀態(tài)為滅燈,實現(xiàn)了左移且右端數(shù)碼依次熄滅。待全部燈熄滅此時FLAG已經(jīng)加到了7后數(shù)碼依次從右移入,此時進行減運算加減運算由FLAG_SC控制,右端數(shù)碼最先到達0,顯示數(shù)碼“0,然后是右端第二位到達0,顯示數(shù)
20、碼“0,右端第一位為1,顯示數(shù)碼“1,其余燈滅,以此類推,實現(xiàn)了右端逐位移入數(shù)碼的功能。五仿真波形及分析實驗任務(wù)1實驗任務(wù)2-I3.波形分析實驗任務(wù)1由波形可見,隨著時鐘模六計數(shù)的不斷重復(fù),0至5號LED數(shù)碼管依次翻開,其余管熄滅,同時,數(shù)碼管顯示數(shù)字從0至5依次出現(xiàn),兩者保持同步,即n號管亮時顯示的數(shù)碼為n。這樣每計數(shù)6次循環(huán)依次,可知仿真結(jié)果正確。當掃描速度很快時,人眼將能看到持續(xù)穩(wěn)定的0至5號數(shù)碼顯示。在實驗室實際測試時,下載到電路板測試成功,完成實驗任務(wù)。實驗任務(wù)2-I為了使仿真結(jié)果便于打印,這里修改了COUNT值為6,也即在一個大的計數(shù)周期內(nèi),只掃描一遍實際實現(xiàn)時需要動態(tài)掃描屢次,如
21、同實驗任務(wù)1,產(chǎn)生穩(wěn)定顯示,只需把COUNT值加大即可。由波形可見,隨著大計數(shù)周期的增加,依次產(chǎn)生012345123450234501345012450123501234012345 ,這樣便實現(xiàn)了循環(huán)移位。實驗室實測時,加大COUNT值為5000,觀察到穩(wěn)定持續(xù)的周期循環(huán)移位的數(shù)碼顯示,完成實驗任務(wù)。六故障及問題分析1.頻率設(shè)置問題當頻率設(shè)置過高時,計數(shù)周期將大大增加,每個周期內(nèi)的掃描次數(shù)也增加,但考慮到人眼的分辨能力,頻率只需大于300Hz即可,過大將增大系統(tǒng)開銷,故采用2kHz分頻器。2.觸發(fā)問題初始編寫代碼時,誤將COUNT計數(shù)器放入p3進程中,導(dǎo)致觸發(fā)邏輯錯誤,大周期計數(shù)不工作,顯示
22、數(shù)碼不能移位。后將模6和模5000兩個計數(shù)器同時放入p2進程,統(tǒng)一使用一個時鐘邊沿觸發(fā),這樣符合了同步時序電路設(shè)計的根本思想,觸發(fā)正確,顯示數(shù)碼才正常移位。3.邏輯實現(xiàn)問題實驗任務(wù)2-II中,為節(jié)省硬件開銷,擯棄使用過多CASE語句產(chǎn)生大量數(shù)據(jù)選擇器的窮舉法,采用一個加法器和一個減法器代替,小周期計數(shù)器控制每位數(shù)碼的顯示,大周期計數(shù)器控制數(shù)碼的移位,加法器實現(xiàn)左移出,減法器實現(xiàn)右移入,綜合起來實現(xiàn)實驗任務(wù)要求。邏輯分析包括硬件分析均無問題,但實際下載到電路板上實現(xiàn)時,局部移位出現(xiàn)亂碼。在實驗室規(guī)定的時間內(nèi)沒能調(diào)試成功,真是遺憾。分析問題可能出在移位時間的選擇上,需要再從仿真波形著手,仔細分析邏輯中的小BUG。七本實驗總結(jié)與結(jié)論1.經(jīng)過邏輯分析,編寫VHDL代碼,然后調(diào)試,進行波形仿真,最后下載到實驗板實現(xiàn),一系列工序之后,成功實現(xiàn)了本實驗要求的比做任務(wù)1和選作任務(wù)2-I,選作任務(wù)2-II邏輯上稍有問題,還需進一步改良編碼,仿真測試。2.進行數(shù)字電路實驗,理論分析是十分重要的一環(huán),只有邏輯分析透徹無誤了,才能用語言進行描述,
溫馨提示
- 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
- 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
- 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
- 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
- 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負責。
- 6. 下載文件中如有侵權(quán)或不適當內(nèi)容,請與我們聯(lián)系,我們立即糾正。
- 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。
最新文檔
- GB/T 44871-2024紡織品二異氰酸酯類化合物的測定
- 食品企業(yè)總經(jīng)理招聘合同
- 特殊管理藥品市場準入指南
- 高山度假村道路建設(shè)合同
- 城市廣場鐵藝安裝協(xié)議
- 2024年配電箱柜集成解決方案采購合同3篇
- 2024年透水混凝土施工協(xié)議3篇
- 家庭園丁保姆合同樣本
- 砌體結(jié)構(gòu)防水防腐施工合同
- 通信設(shè)備銷售票據(jù)管理
- 2025年中小學春節(jié)安全教育主題班會課件
- 工商注冊租房合同范例
- 2023-2024學年廣東省深圳市羅湖區(qū)八年級上學期期末生物試題
- GB/T 18281.3-2024醫(yī)療保健產(chǎn)品滅菌生物指示物第3部分:濕熱滅菌用生物指示物
- 2025年醫(yī)院保衛(wèi)科工作總結(jié)及2025年工作計劃
- 探索心理學的奧秘智慧樹知到期末考試答案章節(jié)答案2024年北京大學
- 《微觀經(jīng)濟學》課程思政教學案例(一等獎)
- 導(dǎo)游實務(wù)課件
- 司法部關(guān)于下發(fā)《律師刑事-訴訟格式文書》標準樣式的通知
- 藝術(shù)類核心期刊目錄
- 220kV樞紐變電所設(shè)計
評論
0/150
提交評論