16×16點陣LED電子顯示屏的設(shè)計_第1頁
16×16點陣LED電子顯示屏的設(shè)計_第2頁
16×16點陣LED電子顯示屏的設(shè)計_第3頁
16×16點陣LED電子顯示屏的設(shè)計_第4頁
16×16點陣LED電子顯示屏的設(shè)計_第5頁
已閱讀5頁,還剩27頁未讀 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、計科02班龔建新 硬件系統(tǒng)課程綜合設(shè)計郵電與信息工程學(xué)院課程設(shè)計說明書課題名稱:16X 16點陣LED電子顯示屏的設(shè)計1002030205學(xué)生學(xué)號:專業(yè)班級:計科02班龔建新王會清學(xué)生姓名: 學(xué)生成績: 指導(dǎo)教師:課題工作時間:2013年5月6日至 5月20日、課程設(shè)計的任務(wù)的基本要求:一、設(shè)計部分設(shè)計一個能顯示16X16點陣圖文LED顯示屏,要求能顯示圖文或文字,顯示圖文或文字 應(yīng)穩(wěn)定、清晰,圖文或文字顯示,以卷簾形式向上滾動顯示。2、論文部分 1、學(xué)生應(yīng)提交的資料:紙質(zhì)課程設(shè)計說明書1份源程序1份(作為附件)。2、課程設(shè)計摘要(中文)的內(nèi)容包括:概述:包括該次課程設(shè)計自己所作的題目,所用的

2、編程工具等;需求分析(基本要求)、設(shè)計(算法思想、關(guān)鍵函數(shù)的流程圖)、實現(xiàn)(源程序清單及注釋);調(diào)試分析和運行結(jié)果(輸入和輸出截圖)參考文獻(xiàn)(至少2篇)3、課程設(shè)計摘要(英文)部分,只需簡要介紹設(shè)計中使用的語言和知識,以及設(shè)計實現(xiàn)的功能即可。指導(dǎo)教師簽字:王會清2013年 5 月20日二、進(jìn)度安排:1、程序設(shè)計卜一周:周一-周五上午 8: 30-11: 30下午 2: 30-5: 002、論文撰寫十二周:周三-周四自行安排時間3、程序演示和論文提交十二周:周五三、應(yīng)收集資料及主要參考文獻(xiàn):1金龍國,單片機(jī)原理與應(yīng)用M.中國水力水電出版社,2008.12康華光,數(shù)字電子技術(shù)M.高等教育出版社.2

3、008 83單片機(jī)C語言應(yīng)用于實踐M.清華大學(xué)出版社.2005 74周潤景,基于PROTEUS的電路及單片機(jī)系統(tǒng)設(shè)計與仿真M.北京:北京航空航天大學(xué)出版社注:本程序所使用為匯編語言所使用的軟件MASM課題簡介LED1.1 LED顯示簡介目前廣告牌具有顯示內(nèi)容豐富、信息量大、信息更換速度快等特點。因此傳統(tǒng)的顯示屏控制系統(tǒng)已經(jīng)越來越不能滿足現(xiàn)代廣告宣傳業(yè)的需要。而利用PC機(jī)通信技術(shù)控制LED顯示屏,則具有顯示內(nèi)容豐富,信息更換靈活等優(yōu)點。課題系統(tǒng)整體方案2.1需要實現(xiàn)的功能本設(shè)計是一 16 X16點陣LED電子顯示屏的設(shè)計。整機(jī)以 40腳單片機(jī)AT89C51為核心,通過該芯片控制列驅(qū)動器74HC5

4、95 來驅(qū)動顯示屏顯示。該電子顯示屏可以顯示各種文字或單色圖像,全屏能顯示1個漢字。顯示可以采用動態(tài)顯示,使得圖形或文字能夠?qū)崿F(xiàn)靜止、移入移出等多種顯示方式。開關(guān)控制顯示“礦大電氣五班”、“電子綜合設(shè)計”的字幕。單片機(jī)給74HC154如如果想使左上角軸或 丫,16*16LED點陣如圖2.1.1所示。 a 著: 單*:a . 76亠 7 亠 f圖 2.1.1同時還要實現(xiàn)的功能:5V的電壓輸入,時鐘電路的設(shè)置,復(fù)位電路的設(shè)置,芯片同時給E1和E2低電平,74LS154才能正常的工作。例LED點亮,則丫0=1 , X0=0即可。應(yīng)用時限流電阻可以放在 X16X16點陣LED工作原理說明:16X16點

5、陣共需要256個發(fā)光二極管組成,1電平,且每個發(fā)光二極管是放置在行線和列線的交叉點上,當(dāng)對應(yīng)的某一列置 某一行置0電平,則相應(yīng)的二極管就亮;因此要實現(xiàn)一根柱形的亮法,對應(yīng)的一一根橫柱:對應(yīng)的行列為一根豎柱,或者對應(yīng)的一行為一根橫柱,因此實現(xiàn)柱的亮的方法如下所述: 一根豎柱:對應(yīng)的列置 1,而行則采用掃描的方法來實現(xiàn)。置0,而列則采用掃描的方法來實現(xiàn)。需要實現(xiàn)的功能如下圖流程圖圖2.1.3所示:本電路使用 AT89C51實現(xiàn)行驅(qū)動,對顯示模塊從上至下的掃描,用74HC154和三極管實現(xiàn)列驅(qū)動,對顯示模塊從左至右的掃描,然后顯示字符。在中規(guī)模集成電路中譯碼器有幾種型號,使用最廣的通常是74HC15

6、4 譯碼器,74HC154 是一款高速CMOS器件,74HC154引腳兼容低功耗肖特基 TTL( LSTTL)系列。2.2系統(tǒng)軟件的設(shè)計軟件程序是整個控制系統(tǒng)的核心部分。顯示部分采用動態(tài)掃描的方式,實現(xiàn)對顯 示屏要顯示的漢字、圖像、字符等數(shù)據(jù)信息進(jìn)行傳輸控制以及顯示等功能。程序中將數(shù)據(jù)存儲器分為三個區(qū):顯示緩沖區(qū)、數(shù)據(jù)存儲區(qū)和接收緩沖區(qū)。單片機(jī)通過串口中斷接收 PC機(jī)傳來的數(shù)據(jù),暫時存放在接收緩沖區(qū),經(jīng)分析處理后按一定的規(guī)律放入數(shù)據(jù)存儲區(qū)保存起來,然后再根據(jù)顯示方式依次從數(shù)據(jù)存儲器中取出數(shù)據(jù)放入顯示緩沖區(qū)中用于顯示。顯示采用逐行掃描的方式,圖5是顯示一屏字符的程序流程圖。與PC機(jī)的實時通信部分

7、主要是利用單片機(jī)串口中斷接收數(shù)據(jù)信息,實現(xiàn)與計算機(jī)的數(shù)據(jù)信息傳輸。3 系統(tǒng)硬件電路的設(shè)計3.1單片機(jī)系統(tǒng)及外圍電路3.1.1單片機(jī)的選擇本設(shè)計選用了 AT89C51單片機(jī)作控制3.1.2 AT89C51芯片介紹概述:AT89C51為40腳雙列直插封裝的 8位通用微處理器,采用工業(yè)標(biāo)準(zhǔn)的C51內(nèi)核,在內(nèi)部功能及管腳排布上與通用的8XC52相同,其主要用于會聚調(diào)整時的功能控制。功能包括對會聚主IC內(nèi)部寄存器、數(shù)據(jù) RAM及外部接口等功能部件的初始化,會聚調(diào)整控制,會聚測試圖控制,紅外遙控信號IR的接收解碼及與主板CPU通信等。主要管腳有:XTAL1 ( 19腳)和XTAL2 ( 18腳)為振蕩器輸

8、入輸出端口,外接12MHz 晶振。RST(9腳)為復(fù)位輸入端口,外接電阻電容組成的復(fù)位電路。VCC( 40腳)和VSS( 20腳)為供電端口,分別接 +5V電源的正負(fù)端。P0P3為可編程通用I/O 腳,其功能用途由軟件定義,在本設(shè)計中,P0 端口( 3239腳)被定義為N1功能控制端口,分別與N1的相應(yīng)功能管腳相連接,13腳定義為IR輸入端,10腳和11腳定義為I2C總線控制端口,分別連接 N1的SDAS ( 18腳)和SCLS(19腳)端口, 12腳、27腳及28腳定義為握手信號功能端口,連接主板CPU的相應(yīng)功能端,用于當(dāng)前制式的檢測及會聚調(diào)整狀態(tài)進(jìn)入的控制功能。AT89C51的引腳圖如下圖

9、 3.1所示:J1XTAL1XTAL2RSTPO.O/AOOPO.VADI P0 2;AC)2 P0.37A03 PO.4/AD4P0.5;A05 PO.eApeP0 7;AC)7P2.0/A8PZ.VASP2.ZA10PSENP2.3/A11ALEP2 4/A12EAP2.5ZA13P2e/Al4e rhr /7貝!QU C&VPsr 1 .UP1.1P 3.1/TXDP1 2P3 2riNT0P3.3/INT?r 1.3P1,4P3 /VTOP1 5P3.5/T1P1 GP3.aMRP1.T1SAIS9C6111. 121314172SB30.31 1 26B需-矍韻一最融鬲畳證咖圖3.1

10、3.1.3單片機(jī)系統(tǒng)外圍電路單片機(jī)外圍電路一般有兩塊:時鐘電路(如圖3.1.3 )和復(fù)位電路(3.1.4)時鐘電路由一個晶振和兩個小電容組成,用來產(chǎn)生時鐘頻率。復(fù)位電路由一個電阻、按鍵和一個電容組成,用來產(chǎn)生復(fù)位信號,使單片機(jī)上電的時候復(fù)位。C1申F:TECmiri XI-TCRI圖 3.1.3AT89C52單片機(jī)芯片內(nèi)部有一個反向放大器構(gòu)成的振蕩器,XTAL1 和 XTAL2分別為振蕩器電路的輸入端和輸出端,時鐘可由內(nèi)部和外部生成,XTAL1 和XTAL2引腳上外接定時元件,內(nèi)部振蕩電路就會產(chǎn)生自激振蕩。系統(tǒng)采用的定時元件為石英晶體和電容組成的并聯(lián)諧振回路。晶振頻率選擇12MHz,C1、成的

11、電容值取22PF,電容的大小頻率起微調(diào)的作用C3Bn知:L圖 3.1.4單片機(jī)有多種復(fù)位電路,本系統(tǒng)采用電平式開關(guān)復(fù)位與上電復(fù)位方式,當(dāng)上電時,C1相當(dāng)于短路,使單片機(jī)復(fù)位,在正常工作時,按下復(fù)位時單片機(jī)復(fù)位。在有時碰到干擾時會造成錯誤復(fù)位,但是大多數(shù)條件下,不會出現(xiàn)單片機(jī)錯誤復(fù)位,而可能會引起內(nèi)部某些寄存器錯誤復(fù)位,在復(fù)位端加一個去耦電容,則會得到很好的效果。3.2驅(qū)動電路16個互斥的低3.3 16T6LED3.2.2顯示屏電路和原理16*16LED 顯示屏電路在 P roteus 仿真中由四個8*8LED點陣組成的,其中二3.2.1 74HC154芯片簡介74HC154譯碼器可接受 4位高

12、有效二進(jìn)制地址輸入,并提供有效輸出。74HC154的兩個輸入使能門電路可用于譯碼器選通,以消除輸出端上 的通常譯碼“假信號”,也可用于譯碼器擴(kuò)展。該使能門電路包含兩個“邏輯與”輸入,必須置為低以便使能輸出端。任選一個使能輸入端作為數(shù)據(jù)輸入,74HC154可充當(dāng)一個1-16的多路分配器。當(dāng)其余的使能輸入端置低時,地址輸出將會跟隨 應(yīng)用的狀態(tài)。322驅(qū)動電路的構(gòu)成本設(shè)計的驅(qū)動電路由三極管的集電極輸出給點陣顯示屏,使其足夠亮。其驅(qū)所示:動電壓為5V。行驅(qū)動由P0 口列驅(qū)動電路如圖3.3.2極管的正極控制器也就是AT89C51,負(fù)極接譯碼器也就是74HC154。顯示屏可以顯示字符、漢字、動畫等任何圖形

13、。該電路充分利用了單片機(jī)的I/O 口資源.使整機(jī)硬件達(dá)到最簡。16*16點陣的原理:點陣 LED掃描法介紹點陣 LED 般采用掃描式顯示,實際運用分為三種方式:(1)點掃描;(2)行掃描;(3)列掃描。若使用第一種方式,其掃描頻率必須大于16 X64=1024Hz ,周期小于1ms即可。電路如圖 3.3所示:P27:P朗P24 注 旳徑 F能亠P35*P3O*圖3.33.4電源電路5V,為電路供電。本設(shè)計采用電源線直接從家用電路中接入電源,經(jīng)變壓為4,調(diào)試及性能分析4.1軟件調(diào)試將上述程序進(jìn)行編譯后,打開AT89C51單片機(jī)的元件屬性編輯對話框,如圖4.1.1所示。在PROGRAM FILE中

14、,單擊文件夾圖標(biāo),選擇“程序ll.hex ”文件后,即可對系統(tǒng)進(jìn)行仿真,整個系統(tǒng)的仿真結(jié)果如圖4.1.2所示:Edit ComponentComponenft Reference:|Lomponer* Jue:|1ATeacsiHiddertHidden-PCEl Package:1DIL40?HideAIIPrograiTn Fte:II. hew1 Hide All匚lock Frequency;12WH?1 Hide AllAdvanced P roperties:I Simulate Ptog(*m Fetches | |NoOHier Properti&s:Exclude From

15、SimuialionEwdude Froin PCE L曰yoLtEdit all properties as textAttach hierarchy moduleIH de cf iinrron pins圖 4.1.10KHelpHidden PinsCjncdID4.2t1=4fC2TECr-ClIt.?CM1ISK-WZMTTP.LEnmnnWbRIraNkceBsraftJ.TL+aviMpajihfffZJirjB MJ.VM* Fzain PTVit NXET PQW rZunk PZTPT3 11麗 5*liTiiIB-1FJ.ITT15rjjnro啊 zrtiT、HPJ hT

16、JS piarnP:jRntTsfftS re本文設(shè)計的點陣RAM作為數(shù)據(jù)存儲器,Bill-亠炎1HjU-sWTpnPZTLII?圖 4.1.2LED顯示屏控制系統(tǒng)以利用串行接口實現(xiàn)與rail I n 1*3 R;0 1 =T 號_貶江圧門W!LAT89C52單片機(jī)為基礎(chǔ),采用靜態(tài)PC機(jī)的數(shù)據(jù)傳輸。在系統(tǒng)設(shè)計中還兼顧了單片機(jī)的抗干擾能力,有效地提高了系統(tǒng)運行的可靠性??芍苯舆\用于實際場合??蓪崿F(xiàn)漢字、字符及數(shù)字等內(nèi)谷的顯示。可以隨時更新顯示的內(nèi)谷。使 用起來非常方便。5實驗總結(jié)本文設(shè)計的點陣LED圖文顯示屏,能夠在目測條件下 LED顯示屏各點亮度均勻、充足,可顯示圖形和文字,顯示的圖形和文字較

17、穩(wěn)定、清晰。在本次設(shè)計過程中,我們得到以下體會:通過兩個星期和大家的一起的努力,我收獲了很多,本設(shè)計是用四個8x8的點陣顯示模塊組成的16x16的點陣LED圖文顯示屏,能夠在目測條件下LED顯示屏各點亮度均勻、充足,可顯示圖形和文字,顯示圖形和文字應(yīng)穩(wěn)定、清晰無串?dāng)_。圖形或文字顯示有靜止、移入移出等顯示方式。本系統(tǒng)具有硬件少,結(jié)構(gòu)簡單,容易實 現(xiàn),性能穩(wěn)定可靠,成本低等特點。總結(jié)本文的研究工作,主要做了下面幾點工作:、通過查閱大量的相關(guān)資料,詳細(xì)了解了 LED的發(fā)光原理和LED顯示屏的原理,了解了 LED的現(xiàn)狀,清楚地了解了 LED顯示屏與其它顯示屏相比較有那些優(yōu)點,明確了研究目標(biāo)。并且通過對

18、單片機(jī)資料的查閱和應(yīng)用,更進(jìn)一步增加了對單片機(jī)知識的理 解和運用能力。并證實了自己的思路:“查資料-思考總結(jié)-運用-找出差錯,再查資 料和向別人詢問-再次運用”的正確性。,本文設(shè)計的LED顯示屏能夠?qū)崿F(xiàn)在目測條件下 LED顯示屏各點亮度均勻、充足,可顯示圖形和文字,顯示圖形和文字應(yīng)穩(wěn)定、清晰無串?dāng)_。圖形或文字顯示有靜止、移 入移出等顯示方式。三,本文列出了系統(tǒng)具體的硬件設(shè)計方案,硬件結(jié)構(gòu)電路圖,軟件流程圖和具體 C語言程序設(shè)計與調(diào)試等方面。四,通過這次課程設(shè)計,重新復(fù)習(xí)并進(jìn)一步增強(qiáng)了動手的能力,學(xué)以致用,把只是運用 到實際生活中才是根本目的 總體來說這次的課程設(shè)計不算成功,沒達(dá)到預(yù)想的目的:但

19、學(xué)到了知識,提高了能力, 完成了任務(wù)。有點缺憾是時間有限,實物沒能做成功,不能進(jìn)一步深入和擴(kuò)散學(xué)習(xí)和研 究。希望有時間可以對程序和電路圖作更進(jìn)一步的改進(jìn):The advertising screen system based on A五、課程設(shè)計摘要(英文)T89C51 sin gle chi p is desig ned in the paper, the system in eludes LED driver module ,data storage module ,PC com muni cati ons module and so on. The main fun cti on of

20、A T89C51 sin gle chi p in system is to realize the word shifti ng,dis playand data readi ng functions of LED Dis playscree n.Key words:AT89C51;LED;Data dtorage;Advertis ing scree n六、成績評定:指導(dǎo)教師評語:指導(dǎo)教師簽字:2012年 月 日項目評價項目評價調(diào)查論證工作量、工 作態(tài)度實踐能力分析、解決 問題能力質(zhì)量倉J新得分七、答辯紀(jì)錄:1該軟件存在哪些問題,實現(xiàn)起來有什么困難?該軟件沒有考慮仿真軟件是一個理想的仿真環(huán)

21、境, 而實際連接的電路板會由于譬如 連接不當(dāng),相鄰器件間的干擾等等的問題導(dǎo)致在仿真軟件中能良好運行的程序,出現(xiàn)顯 示問題不能顯示全(點陣模塊不知道有極性接錯導(dǎo)致),經(jīng)過排查和合理的器件擺放焊 接,問題也沒能解決。2,在設(shè)計過程中,涉及到一下一些沒學(xué)過的知識,你是怎么獲得的?比如在該軟件中需要學(xué)會使用P rotel的基本使用,我們呢先是在網(wǎng)上找關(guān)于其 使用方法,以及去圖書館找相關(guān)書籍去了解,直到有了一個大致的了解后才去運用,以 及運用到該程序中。答辯意見及答辯成績答辯小組教師(簽字):200 年 月日總評成績:(教師評分X 75%+答辯成績X 25% )課程設(shè)計評審標(biāo)準(zhǔn)(指導(dǎo)教師用)評價內(nèi)容具體

22、要求權(quán)重調(diào)查論證能獨立閱讀文獻(xiàn)和從事其他調(diào)研;能提出并較好地論述 課題實施方案;有收集、加工各種信息及獲得新知識的能力。0.1實踐能力能正確選擇研究(實驗)方法,獨立進(jìn)行研究工作。如 裝置安裝、調(diào)試、操作。0.2分析解決 問題能力能運用所學(xué)知識和技能去發(fā)現(xiàn)和解決實際問題;能正確 處理實驗數(shù)據(jù);能對課題進(jìn)行理論分析,得出有價值的結(jié)論。0.2工作量、 工作態(tài)度按期圓滿完成規(guī)定任務(wù),工作量飽滿,難度較大,工作 努力,遵守紀(jì)律;工作作風(fēng)嚴(yán)謹(jǐn)務(wù)實。0.2質(zhì)量綜述簡練完整,有見解;立論正確,論述充分,結(jié)論嚴(yán) 謹(jǐn)合理;實驗正確,分析處理科學(xué);文字通順,技術(shù)用語準(zhǔn) 確,符號統(tǒng)一,編號齊全,書寫工整規(guī)范,圖表完

23、備、整潔、 正確;論文結(jié)果有應(yīng)用價值。0.2 硬件系統(tǒng)課程綜合設(shè)計創(chuàng)新工作中有創(chuàng)新意識;對前人工作有改造或獨特見解。0.1附代碼:*單個16x 16的點陣電子屏字符顯示器ATA89C52 12MHz晶振2013.5.19*;顯示字用查表法,不占用內(nèi)存,字符用 16x 16共陽LED點陣,;效果:向上滾動顯示5個字,再重復(fù)循環(huán)。;R1:查表偏址寄存器,B:查表首址,R2:掃描地址(從000FH。;R3:滾動顯示時控制移動速度,單字顯示可控制靜止顯示的時間。 * ;中斷入口程序; * ?ORG0000HLJMPSTARTORG0003HRETIORG000BHLJMPINTTOORG0013HER

24、TI計科02班:龔建新計科 02 班 龔建新 硬件系統(tǒng)課程綜合設(shè)計ORG001BHERTIORG0023HRETIORG002BHRETI*;初始化程序; *主程序*START: MOV 20H,#00HMOVP1,AMOVP3,AMOVP0,ACLRP1.6;串行寄存器輸入打入輸出控制位MOVTMOD,#01H;使用 T0 做 16 位定時器,行掃描用。MOVTH0,#0FCH;1ms 初值(12MHZMOVTL0,#18HMOVSCON,#00H;串口 0 方式傳送顯示字節(jié)MOVIE , #82H; T0 中斷允許,總中斷允許MOVSP , #70H;顯示準(zhǔn)備,黑屏,1.5s8 個字);向

25、上滾動顯示一頁MOV A,#0FFHMOV P1,A;清零標(biāo)志,00H為第16行開始掃描標(biāo)志,01為1幀 ;掃描結(jié)束標(biāo)志;端口初始化MAIN: LCALL DISI MOV DPTR,#TAB LCALL MOVDISP INC DPH LCALL MOVDISP INC DPH LACLLMOVDISPAJMP MAIN;向上滾動顯示一頁;向上滾動顯示一頁*多字滾動顯示程序*;每次8個字,入口時定義好 DPTR值8 個字)8 個字)MOVDISP: MOV B,#00HDISLOOP: MOV R3,#07H DISMO:V MOV R2,00HMOV R1,BSETB TR0WAITMOV

26、: JBC 01H,DISMOV1 AJMP WAITMOVDISMOV1:INCINCDJNZ R3,DISMOVBBMOV A,R1JZ MOVOUTAJMP DISLOOP MOVOUT: RET*單字顯示程序*;顯示表中某個字; mumDIS1:DIS11:WAIT11:DIS111:MOV R3,#5AHMOV R2,#00HMOV DPTR,#TABMOV R1,#00HSETB TR0JBC 01H,DIS111AJMP WAIT11DJNZ R3,DIS11RETmm掃描程序*;1ms刷新一次,每行顯示 1sINTT0: PUSH ACCMOVMOV JBC INC MOV;向

27、上移動顯示,查表偏值暫存(從 00 開始);移動速度;第0行開始TH0,#0FCHTL0,#18H 00H,GOENDR1A,R1;開掃描 ( 每次一幀 );標(biāo)志為1掃描一幀結(jié)束(16ms為1幀,每行1mS); 1 幀重復(fù)顯示 ( 控制移動速度 );顯示字的下一行(每行 2 字節(jié))R1為0, 8個字顯示完移動先是結(jié)束;靜止顯示時間控制( 16ms*#=1.6s) ;一幀掃描初始值(行地址從000FH) ;取表首址;查表偏址 ( 顯示第一個字 ) ;開掃描(每次一幀);為 1 ,掃描一幀結(jié)束;1ms初值重裝; 16 行掃描標(biāo)志為 1 ,結(jié)束;取行右邊字節(jié)偏址MOVC A,A+DPTR;查表WAI

28、T:GO:WAIT1:GO1:GO2:GOEND:MOV SBUF,AJBC TI,GOAJMPDEC R1MOV MOVC MOV;串口 0 方式發(fā)送;等待發(fā)送完畢WAIT1A,R1A,A+DPTRSBUF,AJBC T1,GO1 AJMP WAIT1 SETB P1.7 NOP SETB P1.6 NOP NOP;取行左邊字節(jié)偏址;關(guān)行顯示,準(zhǔn)備刷新;串口寄存器數(shù)據(jù)穩(wěn)定;產(chǎn)生上升沿,行數(shù)據(jù)打入輸出端CLRP1.6;恢復(fù)低電平MOVA,R2;修改顯示行地址ORLA,#0F0H;修改顯示行地址MOVR2,A;修改顯示行地址MOVA,P1;修改顯示行地址ORLA,#0FH;修改顯示行地址ANLA

29、,R2;修改顯示行地址MOVP1,A;修改完成CLRP1.7;開行顯示INCR2;下一行掃描地址值INCR1INCR1;下一行數(shù)據(jù)地址MOVA,R2ANLA,#0FHJNZGO2SETB00H;R2為01H,現(xiàn)為末,置標(biāo)志POP ACCRETICLR TR0SETB 01HPOP ACCRETI;一幀掃描完畢,關(guān)掃描;一幀掃描完畢,置結(jié)束標(biāo)志;退出*掃描文字表*TAB:DB0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,00FFH,0FFH,0FFH ;黑屏DB0F9H,0BFH,0C7H,0AFH,0F7H,0B7H,0F7H,

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫網(wǎng)僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論