籃球24秒計(jì)時(shí)器的設(shè)計(jì)_第1頁(yè)
籃球24秒計(jì)時(shí)器的設(shè)計(jì)_第2頁(yè)
籃球24秒計(jì)時(shí)器的設(shè)計(jì)_第3頁(yè)
籃球24秒計(jì)時(shí)器的設(shè)計(jì)_第4頁(yè)
籃球24秒計(jì)時(shí)器的設(shè)計(jì)_第5頁(yè)
已閱讀5頁(yè),還剩13頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶(hù)提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、 1 / 18 課程設(shè)計(jì)任務(wù)書(shū)課程設(shè)計(jì)任務(wù)書(shū) 題題 目目: : 籃球 24 秒計(jì)時(shí)器設(shè)計(jì) 初始條件:初始條件: 1. 具備電子電路的基礎(chǔ)知識(shí)和查閱資料和手冊(cè)的能力 2熟悉常用電子器件和常規(guī)實(shí)驗(yàn)儀器及電子設(shè)計(jì)常用軟件 3. 已掌握電子電路實(shí)驗(yàn)的基本方法 要求完成的主要任務(wù)要求完成的主要任務(wù): (包括課程設(shè)計(jì)工作量及其技術(shù)要求,以及說(shuō)明書(shū)撰寫(xiě) 等具體要求) 1.具備顯示 24 秒計(jì)時(shí)功能; 2.計(jì)時(shí)器為遞減工作,間隔為 1s; 3.遞減到 0 時(shí)發(fā)聲光報(bào)警信號(hào); 4.設(shè)置外部開(kāi)關(guān),控制計(jì)時(shí)器的清 0,啟動(dòng)及暫停; 5.提出至少兩種設(shè)計(jì)實(shí)現(xiàn)方案,并優(yōu)選方案進(jìn)行設(shè)計(jì); 6. 撰寫(xiě)符合學(xué)校要求的課程設(shè)計(jì)

2、說(shuō)明書(shū)。 時(shí)間安排:時(shí)間安排: 1. 動(dòng)員大會(huì),下達(dá)課程設(shè)計(jì)任務(wù)書(shū); 2. 7 月 7 日11 日 查閱資料,選擇設(shè)計(jì)方案,進(jìn)行設(shè)計(jì)計(jì)算,完成預(yù)設(shè)計(jì)并進(jìn) 行計(jì)算機(jī)仿真 7 月 7 日; 3. 7 月 12 日 預(yù)設(shè)計(jì)方案經(jīng)教師審查后領(lǐng)取元器件; 4. 7 月 12 日17 日將設(shè)計(jì)的電路進(jìn)行制作和調(diào)試并完成課程設(shè)計(jì)說(shuō)明書(shū)的撰 寫(xiě); 5. 7 月 17 日18 日課程設(shè)計(jì)答辯。 指導(dǎo)教師簽名:指導(dǎo)教師簽名: 20082008 年年 0707 月月 0707 日日 系主任(或責(zé)任教師)簽名:系主任(或責(zé)任教師)簽名: 20082008 年年 0707 月月 0707 日日 2 / 18 摘要摘要

3、基于數(shù)字電子技術(shù)和模擬電子技術(shù)的籃球 24 秒計(jì)時(shí)器在籃球比賽中已經(jīng)被 廣泛的采用,它可以完成置數(shù)、倒計(jì)時(shí)、暫停、清零、聲光報(bào)警等很實(shí)用的功 能。 本文著重介紹 24 秒計(jì)時(shí)器的制作過(guò)程,并且對(duì)一些方案作出對(duì)比,最終選 定較好的方案。在單元電路方面,本文對(duì)電路的每一部分的選擇都加以說(shuō)明, 經(jīng)過(guò)對(duì)比確定出最優(yōu)方案并加以采用。在此基礎(chǔ)上,本文對(duì) 24 秒計(jì)時(shí)電路進(jìn)行 了適當(dāng)?shù)墓δ軘U(kuò)展。 最后,本文對(duì)基于電工技術(shù)的 24 秒計(jì)時(shí)器的設(shè)計(jì)特點(diǎn),進(jìn)行了歸納和總結(jié)。 關(guān)鍵字 :555 振蕩模塊 減計(jì)數(shù)器 譯碼顯示 報(bào)警電路 3 / 18 目錄目錄 1.1. 設(shè)計(jì)內(nèi)容及要求設(shè)計(jì)內(nèi)容及要求.1 1 2.2.結(jié)

4、構(gòu)設(shè)計(jì)及方案選擇結(jié)構(gòu)設(shè)計(jì)及方案選擇.2 2 2.1 原理方框圖及設(shè)計(jì)思路 .2 2.2 方案選擇 .4 3.3.單元電路設(shè)計(jì)、參數(shù)計(jì)算及器件的選擇單元電路設(shè)計(jì)、參數(shù)計(jì)算及器件的選擇.5 5 3.1 脈沖信號(hào)電路的設(shè)計(jì) .5 3.2 計(jì)數(shù)電路的設(shè)計(jì)及選用 .7 3.3 報(bào)警電路的設(shè)計(jì) .8 3.4 譯碼顯示電路的設(shè)計(jì) .9 3.5 外部控制電路的設(shè)計(jì)(本部分沒(méi)有在仿真圖中仿真) .10 4.4.組裝及調(diào)試過(guò)程組裝及調(diào)試過(guò)程.1111 4.1 組裝 .11 4.2 調(diào)試 .12 4.2.1 調(diào)試電路的方法和技巧.12 4.2.2 調(diào)試中出現(xiàn)的問(wèn)題及解決方法 .13 5 5 收獲、體會(huì)和改進(jìn)的方法收

5、獲、體會(huì)和改進(jìn)的方法.1313 5.1 心得和體會(huì) .13 5.2 改進(jìn)方案 .14 附錄附錄 1 1 .1515 6 元器件明細(xì)表 .15 7 7 參考文獻(xiàn)參考文獻(xiàn).1616 4 / 18 籃球 24 秒計(jì)時(shí)器的設(shè)計(jì) 1.1. 設(shè)計(jì)內(nèi)容及要求設(shè)計(jì)內(nèi)容及要求 1.1 設(shè)計(jì)一個(gè)籃球比賽 24 秒計(jì)時(shí)器,具備顯示 24 秒計(jì)時(shí)功能; 1.2 計(jì)時(shí)器為遞減工作,時(shí)間間隔為 1s 1.3 遞減到零時(shí)發(fā)出聲光報(bào)警 1.4 設(shè)置外部開(kāi)關(guān),控制計(jì)時(shí)器的啟動(dòng)、暫停及清零 2.2.結(jié)構(gòu)設(shè)計(jì)及方案選擇結(jié)構(gòu)設(shè)計(jì)及方案選擇 2.12.1 原理方框圖及設(shè)計(jì)思路原理方框圖及設(shè)計(jì)思路 設(shè)計(jì)思路:脈沖信號(hào)經(jīng)過(guò)遞減計(jì)數(shù)器、譯碼

6、器、再由數(shù)碼管顯示出來(lái),中 間包括控制電路。 圖 1:原理方框圖 包括秒脈沖發(fā)生器、計(jì)數(shù)器、譯碼與顯示電路、報(bào)警電路和控制電路(輔 助時(shí)序控制電路)等五個(gè)部分組成。秒脈沖發(fā)生電路遞減計(jì)時(shí),每隔 1 秒鐘, 脈沖信號(hào)發(fā)生 電路 計(jì)數(shù)電路譯碼顯示電路 外部操作開(kāi)關(guān)控制電路 報(bào)警電路 5 / 18 計(jì)時(shí)器減 1。其中計(jì)數(shù)器和控制電路是系統(tǒng)的主要部分。計(jì)數(shù)器完成 24 秒計(jì)時(shí) 功能,而控制電路完成計(jì)數(shù)器的直接清零、啟動(dòng)計(jì)數(shù)器、暫停/連續(xù)計(jì)數(shù)、譯碼 顯示電路的顯示與滅燈等功能。當(dāng)計(jì)時(shí)器遞減計(jì)時(shí)到零(既定時(shí)時(shí)間到)時(shí), 顯示器上顯示 00,同時(shí)二極管閃亮,蜂鳴器發(fā)聲報(bào)警。 以下為本報(bào)告所設(shè)計(jì)的整體電路(該

7、設(shè)計(jì)由 mutisim10 仿真) 。 6 / 18 圖 2:設(shè)計(jì)原理圖 以上方案工作原理:脈沖信號(hào)由 555 計(jì)時(shí)器組成的多諧振蕩器為整個(gè)電路提 供頻率為 1hz 的方波脈沖信號(hào),在脈沖的輸出端接有一 led,用以指示脈沖信 號(hào)的發(fā)生。方波信號(hào)由低位 74ls192 的 cpd 端輸入電路的計(jì)數(shù)部分,從而使計(jì) 數(shù)電路開(kāi)始工作。74ls192 的輸出端經(jīng)過(guò)譯碼電路接數(shù)碼管,兩片 74ls192 分 別置數(shù) 0010 和 0100。計(jì)數(shù)電路的置數(shù)端接外部控制電路,當(dāng)置數(shù)端輸入低電 平時(shí),電路實(shí)現(xiàn)計(jì)數(shù)功能,此時(shí)數(shù)碼管顯示 24,隨著 cp 的接入,數(shù)碼管示數(shù) 以一秒為單位遞減,當(dāng)其遞減到零時(shí),bo

8、 輸出低電平觸發(fā)報(bào)警電路,led 發(fā)光, 蜂鳴器報(bào)警(圖中為模擬蜂鳴器報(bào)警功能) 。外部控制電路也可以實(shí)現(xiàn)使電路的 清零的功能,當(dāng)芯片的 clr 端輸入高電平時(shí),計(jì)數(shù)器實(shí)現(xiàn)清零。 另:若將圖中的二輸入與門(mén) 74ls08 更換為三輸入與門(mén) 74ls12,同時(shí)添加 一控制開(kāi)關(guān),則可以實(shí)現(xiàn)計(jì)時(shí)電路暫停、開(kāi)始功能。 2.22.2 方案選擇方案選擇 上面的方案是基于十進(jìn)制加減計(jì)數(shù)器 74ls192 所設(shè)計(jì),在計(jì)數(shù)電路中,也 可以使用其他具有技術(shù)功能的集成芯片,例如 74ls161。 74ls161 是四位同步二進(jìn)制加計(jì)數(shù)器,該計(jì)數(shù)器能同步并行預(yù)置數(shù)據(jù),具 有清零置數(shù),計(jì)數(shù)和保持功能,具有進(jìn)位輸出端,可以

9、串接計(jì)數(shù)器使用。以下 是它的功能表。 使用 74ls161 設(shè)計(jì)的電路,其余部分與用 74ls192 設(shè)計(jì)的電路沒(méi)有明顯不 同,同樣使用 555 計(jì)時(shí)器構(gòu)成的多諧振蕩器作為方波脈沖信號(hào)發(fā)生器。 在設(shè)計(jì)過(guò)程中可以發(fā)現(xiàn),74ls161 雖然是加計(jì)數(shù)器,同樣可以實(shí)現(xiàn)減計(jì)數(shù) 功能,但是,必須在其輸出端串聯(lián)大量門(mén)電路以實(shí)現(xiàn)計(jì)數(shù)的轉(zhuǎn)換,原理上可行, 但實(shí)際操作難以完成,甚至仿真也是很困難的。綜合考慮,不選用 74ls161 作 為設(shè)計(jì)方案。 7 / 18 圖 3 74ls161 功能表 3.3.單元電路設(shè)計(jì)、參數(shù)計(jì)算及器件的選擇單元電路設(shè)計(jì)、參數(shù)計(jì)算及器件的選擇 本報(bào)告中所設(shè)計(jì)的計(jì)時(shí)器是由脈沖信號(hào)發(fā)生器、

10、計(jì)數(shù)器、報(bào)警電路、外部控 制電路、譯碼顯示電路等五個(gè)部分構(gòu)成。 3.13.1 脈沖信號(hào)電路的設(shè)計(jì)脈沖信號(hào)電路的設(shè)計(jì) 脈沖信號(hào)電路可以由 555 定時(shí)器構(gòu)成的多諧振蕩器或者石英晶體振蕩器或 者由 rc lc rlc 振蕩電路構(gòu)成的信號(hào)發(fā)生裝置來(lái)實(shí)現(xiàn)。 由于在本實(shí)驗(yàn)中要求相對(duì)穩(wěn)定的頻率為 1hz 方波信號(hào)輸出,綜合考慮如下: rc、lc、rlc 構(gòu)成的震蕩電路產(chǎn)生的脈沖信號(hào)為正弦波信號(hào),則還需要施密特 觸發(fā)器來(lái)將其轉(zhuǎn)化為方波信號(hào),這樣會(huì)增加電路的復(fù)雜性,不易于操作;而石 英晶體振蕩器的固有頻率在 40khz 以上,只能選用分頻電路來(lái)實(shí)現(xiàn) 1hz 的脈沖 輸出,分頻電路可以選用具有分頻功能的 74l

11、s92 等集成芯片,這樣,不僅提高 了設(shè)計(jì)的成本,而且提高了電路整體的復(fù)雜性和功耗,難以實(shí)現(xiàn)。綜上,我們 選用由 555 定時(shí)器構(gòu)成的多謝振蕩器作為脈沖信號(hào)發(fā)生器。 如下圖,由 ne555 構(gòu)成的多諧振振蕩器,接通電源后,電容 c1被充電, vc上升,當(dāng) vc上升到 2/3vcc時(shí),觸發(fā)器被復(fù)位,同時(shí)放電 bjtt 導(dǎo)通,此時(shí) v0 為低電平,電容 c 通過(guò) r2和 t 放電,使 vc下降,當(dāng)下降至 1/3 vcc時(shí),觸發(fā)器 又被置位,v0翻轉(zhuǎn)為高電平。當(dāng) c 放電結(jié)束時(shí),t 截止,vcc將通過(guò) r2和 r1、re 8 / 18 向電容器充電,vc由 1/3vcc上升到 2/3vcc。當(dāng) vc

12、上升到 2/3vcc時(shí),觸發(fā)器又發(fā) 生翻轉(zhuǎn),如此周而復(fù)始,在輸出端就得到一個(gè)周期性的方波,其頻率為: f=1.43/(r1+2r2)c。 在這里我們選擇 r2=68k,c1=10uf,只要用一個(gè)可變電阻器代替 r1,并將 它調(diào)至 7k 即可輸出 1hz,達(dá)到要求 圖 4 555 原理圖以及由 555 構(gòu)成的多諧震蕩器原理圖 圖 5 555 輸出脈沖波形圖 9 / 18 表一:555 計(jì)時(shí)器功能表 輸入輸出 閾值輸入 (v11) 觸發(fā)值 (v12) 復(fù)位(rd)輸出(vo)放電管 t 00 導(dǎo)通 (2/3)vcc(2/3)vcc(1/3)vcc10 導(dǎo)通 (1/3)vcc1 不變不變 注:0=低

13、電平,1=高電平 3.23.2 計(jì)數(shù)電路的設(shè)計(jì)及選用計(jì)數(shù)電路的設(shè)計(jì)及選用 計(jì)數(shù)電路的功能選用集成芯片來(lái)實(shí)現(xiàn)。 74ls192 是雙時(shí)鐘方式的十進(jìn)制可編程同步加鎖計(jì)數(shù)器。它采用 8421 碼 二-十進(jìn)制編碼,并具有直接清零、置數(shù)、加鎖計(jì)數(shù)功能。脈沖信號(hào)接 cpu 端可 以實(shí)現(xiàn)加計(jì)數(shù)功能,脈沖信號(hào)接 cpd 端可以實(shí)現(xiàn)減計(jì)數(shù)功能。ld 是異步并行置 數(shù)控制端 (低電平有效), co、bo 分別是進(jìn)位、借位輸出端 (低電平有效),cr 是異步清除端,d0d3是并行數(shù)據(jù)輸入端,q3q0 是輸出端。 74ls192 的工作原理是:當(dāng)/ld =1,cr=0 時(shí),若時(shí)鐘脈沖加入到 cpu端, 且 cp 置數(shù)

14、= 1, 則計(jì)數(shù)器在預(yù)置數(shù)的基礎(chǔ)上完成加計(jì)數(shù)功能,當(dāng)加計(jì)數(shù)到 9 時(shí), /co 端發(fā)出進(jìn)位下跳變脈沖;若時(shí)鐘脈沖加入到 cpd端,且 cpu=1,則計(jì)數(shù)器在 預(yù)置數(shù)的基礎(chǔ)上完成減計(jì)數(shù)功能,當(dāng)減計(jì)數(shù)到 0 時(shí),/bo 端發(fā)出借位下跳變脈 沖。由 74ls192 構(gòu)成的 24 遞減計(jì)數(shù)器其預(yù)置數(shù)為 n=(00100100)8421bcd=(24)10。 它的計(jì)數(shù)原理是:只有當(dāng)?shù)臀?bo1端發(fā)出借位脈沖時(shí),高位計(jì)數(shù)器才作減計(jì)數(shù)。 當(dāng)高、低位計(jì)數(shù)器處于全零,且 cpd為 0 時(shí),置數(shù)端/ld2=0, 計(jì)數(shù)器完成并行置 數(shù),在 cpd端的輸入時(shí)鐘脈沖作用下,計(jì)數(shù)器再次進(jìn)入下一循環(huán)減計(jì)數(shù)。 10 / 18

15、 圖 6 74ls192 管腳圖以及功能表 圖 7 計(jì)時(shí)電路 上圖為兩片 74ls192 級(jí)聯(lián)構(gòu)成的計(jì)數(shù)電路,其中右邊的 74ls192 為低位,通 過(guò)借位信號(hào)輸出端 tcd 向高位 cd 輸出脈沖信號(hào)。當(dāng)?shù)臀恍酒褂?jì)數(shù)至零時(shí), tcd 由高電平轉(zhuǎn)為低電平,此時(shí)向高位芯片發(fā)出借位信號(hào),高位芯片的減計(jì)數(shù) 信號(hào)輸入端 cd 接到信號(hào)后,驅(qū)動(dòng)高位芯片開(kāi)始減計(jì)數(shù)。當(dāng)高位芯片減計(jì)數(shù)至零 時(shí),tcd 端則由高電平轉(zhuǎn)化為低電平,再次向高位借位。 3.33.3 報(bào)警電路的設(shè)計(jì)報(bào)警電路的設(shè)計(jì) 題目中要求當(dāng)計(jì)時(shí)停止時(shí),電路要有聲光報(bào)警功能,為此,本設(shè)計(jì)報(bào)告中 選用 d 觸發(fā)器觸發(fā)發(fā)光二極管和蜂鳴器工作來(lái)實(shí)現(xiàn)此功能

16、。 根據(jù) d 觸發(fā)器的功能,當(dāng) pre 端輸入為低電平時(shí),其輸出端 q 輸出高電平, 可以利用該高電平直接驅(qū)動(dòng)發(fā)光二極管和蜂鳴器報(bào)警 11 / 18 圖 8 d 觸發(fā)器驅(qū)動(dòng)的報(bào)警電路 3.43.4 譯碼顯示電路的設(shè)計(jì)譯碼顯示電路的設(shè)計(jì) 譯碼顯示電路我們選用 74ls48 來(lái)驅(qū)動(dòng) 7 段共陰極數(shù)碼顯示管。 數(shù)碼顯示器可顯示系統(tǒng)的運(yùn)行狀態(tài)及工作數(shù)據(jù),它分為兩種,共陰極 (bs201/202)與共陽(yáng)極(bs211/212) ,我們所選的是共陰極,它是將發(fā)光二極 管的陰極短接后作為公共極,當(dāng)驅(qū)動(dòng)信號(hào)為高電平時(shí),陰極必須接低電平,才 能夠發(fā)光顯示。共陰極數(shù)碼管的外引腳及內(nèi)部電路如下圖 圖 11 7 段共

17、陰極數(shù)碼顯示管管腳圖 驅(qū)動(dòng)共陰極顯示器的譯碼器輸出為高電平有效,所以選用 74ls48 驅(qū)動(dòng)共 陰極的發(fā)光二極管顯示器。 下圖是 74ls48 外引線排列圖與功能表: 圖 9 發(fā)光二極 管 圖 10 揚(yáng)聲器 12 / 18 圖 12 74ls48 外引線排列圖及功能表 74ls48 工作原理:譯碼器輸入端為二進(jìn)制碼,經(jīng)譯碼器后,輸出端分別 與七段顯示器的的輸入端對(duì)應(yīng)連接。消隱(滅燈)輸入端 bi 為低電平有效。 當(dāng)消隱(滅燈)輸入端 bi =0 時(shí),不論其余輸入端狀態(tài)如何,所有輸出為零, 數(shù)碼管七段全暗,無(wú)任何顯示;當(dāng)消隱輸入端 bi =1 時(shí)譯碼器譯碼。燈測(cè)試 (試燈)輸入端 lt 為低電平

18、有效。當(dāng)燈測(cè)試(試燈)輸入端=0(/ =1)時(shí), 不論其余輸入端狀態(tài)如何,所有輸出為 1,數(shù)碼管七段全亮,顯示 8??捎脕?lái)檢 查數(shù)碼管、譯碼器有無(wú)故障;當(dāng)燈測(cè)試輸入端 lt =1 時(shí)譯碼器譯碼。脈沖消 隱(動(dòng)態(tài)滅燈)輸入 rbi 為低電平有效。當(dāng) rbi =1 時(shí),對(duì)譯碼器無(wú)影響;當(dāng) bi =lt =1 時(shí),若 rbi =0,輸入數(shù)碼是十進(jìn)制的零時(shí),數(shù)碼管七段全暗,不顯 示;輸入數(shù)碼不為零時(shí),則照常顯示。在實(shí)際使用中有些零是可以不顯示的, 如 004.50 中的百位的零可不顯示;若百位的零可不顯示,則十位的零也可不 顯示;小數(shù)點(diǎn)后第二位的零,不考慮有效位時(shí)也可不顯示。脈沖消隱輸入 rbi =0

19、 時(shí),可使不顯示的零消隱。 3.53.5 外部控制電路的設(shè)計(jì)外部控制電路的設(shè)計(jì)(本部分沒(méi)有在仿真圖中仿真)(本部分沒(méi)有在仿真圖中仿真) 由設(shè)計(jì)要求可知,電路要實(shí)現(xiàn)一下功能:電路具有直接清零功能;在計(jì)數(shù)過(guò) 程中電路具有暫停、啟動(dòng)功能; 13 / 18 由此,可以設(shè)計(jì)以下輔助控制電路: 圖 13 外部控制開(kāi)關(guān)輔助電路 圖(a)是置數(shù)控制電路,/ld 接 74ls192 的預(yù)置數(shù)控制端,當(dāng)開(kāi)關(guān) s1合上 時(shí),/ld=0,74ls192 進(jìn)行置數(shù);當(dāng) s1 斷開(kāi)時(shí),/ld =1,74ls192 處于計(jì)數(shù)工 作狀態(tài),圖(b)是時(shí)鐘脈沖信號(hào) cp 的控制電路,控制 cp 的放行與禁止。當(dāng)定時(shí) 時(shí)間未到時(shí),

20、74ls192 的借位輸出信號(hào)路,/bo2=1, 則 cp 信號(hào)受 “暫停/連續(xù)” 開(kāi)關(guān) s2的控制,當(dāng) s2處于“暫?!蔽恢脮r(shí),門(mén) g3輸出 0,門(mén) g2關(guān)閉,封鎖 cp 信號(hào),計(jì)數(shù)器暫停計(jì)數(shù);當(dāng) s2處于“連續(xù)”位置時(shí),門(mén) g3輸出 1,門(mén) g2打開(kāi), 放行 cp 信號(hào),計(jì)數(shù)器在 cp 作用下,繼續(xù)累計(jì)計(jì)數(shù)。當(dāng)定時(shí)時(shí)間到時(shí)/bo2=0, 門(mén) g2關(guān)閉,封鎖 cp 信號(hào),計(jì)數(shù)器保持零狀態(tài)不變。從而實(shí)現(xiàn)暫停和計(jì)時(shí)截止 后 cp 封存的功能。 4.4.組裝及調(diào)試過(guò)程組裝及調(diào)試過(guò)程 4.14.1 組裝組裝 經(jīng)過(guò)仿真,將所得電路圖付諸于實(shí)踐的過(guò)程。組裝的過(guò)程中用到的材料有: 14 / 18 各種前面

21、設(shè)計(jì)中要用到的芯片、連接線、面包板、直流穩(wěn)壓電源等。需要用到 的儀器有萬(wàn)用表。 (1)組裝之前要對(duì)芯片進(jìn)行測(cè)試,確保芯片功能正常; (2)將所有芯片按照電路原理圖依次插在面包板上,該過(guò)程要注意所有元件 的管腳必須準(zhǔn)確插進(jìn)面包板的插槽里,同時(shí)注意電源線與地線; (3)組裝的過(guò)程中要同時(shí)進(jìn)行單元電路的測(cè)試; (4)將所有單元電路連接,經(jīng)檢查無(wú)誤后接通電源進(jìn)行通電測(cè)試。 4.24.2 調(diào)試調(diào)試 4.2.14.2.1 調(diào)試電路的方法和技巧調(diào)試電路的方法和技巧 電路的調(diào)試具體步驟大致可分為:通電觀察、靜態(tài)調(diào)試和動(dòng)態(tài)調(diào)試。 1)通電觀察: 通電后不要馬上測(cè)量電氣指標(biāo),如高低電平,脈沖信號(hào)等,而要觀察電路有

22、無(wú) 異?,F(xiàn)象,例如有無(wú)冒煙,有無(wú)異味,手摸集成電路外殼是否發(fā)燙等,如本次 試驗(yàn)中所用的發(fā)光二極管就應(yīng)小心接 5v 支流時(shí)會(huì)不會(huì)燒壞。在仿真軟件的電路 調(diào)試中沒(méi)有以上例子,僅有數(shù)碼顯示的正常與否,以及短路與否,所以可以加 上數(shù)個(gè)發(fā)光二極管,通過(guò)觀察發(fā)光二極管的閃爍來(lái)監(jiān)測(cè)各個(gè)部分的運(yùn)作情況。 在實(shí)際操作中應(yīng)細(xì)心觀察,如果出現(xiàn)異?,F(xiàn)象,應(yīng)立即關(guān)斷電源,待排除故障 后再通電。 2)靜態(tài)調(diào)試: 靜態(tài)調(diào)試一般是指在不加輸入信號(hào),或只加固定的電平信號(hào)的條件下所進(jìn)行的 直流測(cè)試,可用萬(wàn)用表測(cè)出電路中各點(diǎn)的電位,通過(guò)和理論估算值比較,結(jié)合 電路原理的分析,判斷電路直流工作狀態(tài)是否正常,及時(shí)發(fā)現(xiàn)電路中已損壞或 處

23、于臨界工作狀態(tài)的元器件。通過(guò)更換器件或調(diào)整電路參數(shù),使電路直流工作 狀態(tài)符合設(shè)計(jì)要求。在仿真軟件中,我們利用模擬的示波器與脈沖信號(hào)的共同 做用下與實(shí)際的相比較的判斷出電路的好與壞。 3)動(dòng)態(tài)調(diào)試: 動(dòng)態(tài)調(diào)試是在靜態(tài)調(diào)試的基礎(chǔ)上進(jìn)行的,在電路的輸入端加入合適的信號(hào),按 15 / 18 信號(hào)的流向,順序檢測(cè)各測(cè)試點(diǎn)的輸出信號(hào),若發(fā)現(xiàn)不正?,F(xiàn)象,應(yīng)分析其原 因,并排除故障,再進(jìn)行調(diào)試,直到滿(mǎn)足要求。 4.2.24.2.2 調(diào)試中出現(xiàn)的問(wèn)題及解決方法調(diào)試中出現(xiàn)的問(wèn)題及解決方法 (1)無(wú)法置數(shù)、置數(shù)混亂:經(jīng)檢查,原因是人為因素導(dǎo)致錯(cuò)誤發(fā)生,芯片 的管腳連接錯(cuò)誤,同時(shí)有導(dǎo)線連接不良的現(xiàn)象; (2)芯片計(jì)數(shù)

24、異常:具體表現(xiàn)為,隨著脈沖信號(hào)的接入,數(shù)碼管顯示的數(shù) 碼以奇數(shù)形式遞減,認(rèn)為可能是脈沖信號(hào)源參數(shù)選擇有誤,重新選擇參數(shù)并將 電路重新連接后,故障排除 (3)倒計(jì)數(shù)至零后,計(jì)數(shù)器不停止,繼續(xù)減計(jì)數(shù):原因是,由于在高位 74ls192 的 bo 借位輸出端接有報(bào)警電路的發(fā)光二極管,而發(fā)光二極管一段接高 電平,故在計(jì)數(shù)至零后,bo 向 led 的高電平端借位,導(dǎo)致控制端的三輸入與非 門(mén) 74ls12 不能將輸入信號(hào)封存,計(jì)數(shù)不停止。解決方法為,將 bo 輸出信號(hào)經(jīng) 過(guò)非門(mén) 74ls04 后接入報(bào)警電路,而 led 另一端接地。 (4)對(duì)比脈沖發(fā)生周期與現(xiàn)實(shí)秒周期發(fā)現(xiàn),脈沖信號(hào)周期較小,具體表現(xiàn) 為信

25、號(hào)源脈沖指示燈頻率過(guò)高,減計(jì)數(shù)過(guò)快。解決方法為:調(diào)整 555 計(jì)時(shí)器的 電阻阻值可以解決。 總之,在組裝調(diào)試過(guò)程中出現(xiàn)了很多意外的故障,通過(guò)分析改正都得到解 決,在以后的實(shí)踐中,應(yīng)養(yǎng)成做事小心謹(jǐn)慎的習(xí)慣,要有理論與實(shí)踐還有差距 的意識(shí),不斷提高動(dòng)手解決問(wèn)題的能力。 5 5 收獲、體會(huì)和改進(jìn)的方法收獲、體會(huì)和改進(jìn)的方法 5.15.1 心得和體會(huì)心得和體會(huì) 本次課程設(shè)計(jì)是一次基于數(shù)字電子計(jì)數(shù)和模擬電子計(jì)數(shù)的實(shí)踐,第一次讓我 將不同時(shí)間學(xué)習(xí)的不同種類(lèi)的知識(shí)融合在一起,讓我對(duì)電子計(jì)數(shù)這門(mén)課有了新 的認(rèn)識(shí),同時(shí)也意識(shí)到了自己的不足。尤其是發(fā)現(xiàn)自己的理論知識(shí)學(xué)習(xí)的不夠 深刻也不夠扎實(shí),不能夠滿(mǎn)足實(shí)際應(yīng)用,如此一個(gè)簡(jiǎn)單的設(shè)計(jì)尚且不能做好, 16 / 18 又怎么能做好以后的復(fù)雜的設(shè)計(jì)。 設(shè)計(jì)過(guò)程中我深刻的體會(huì)到理論和實(shí)際的差異,在將自己學(xué)的知識(shí)用于設(shè)計(jì) 制作的時(shí)候,發(fā)現(xiàn)有一些知識(shí)是沒(méi)有辦法用的,也有一些知識(shí)似乎沒(méi)有什么使 用價(jià)值。比如 555 計(jì)時(shí)器構(gòu)成的多諧

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶(hù)所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 人人文庫(kù)網(wǎng)僅提供信息存儲(chǔ)空間,僅對(duì)用戶(hù)上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶(hù)上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶(hù)因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論